[Aug 11 15:43:27 2020]: ====================================================================== | IBERT Test - r4v1p1 | | | | Hub1 SN: 11 Hub2 SN: 20 | ====================================================================== [Aug 11 15:43:27 2020]: Cards in use: Card | Serial/Slot Number hub1 | HUB_SLOT1 hub2 | HUB_SLOT2 htm3 | HTM01 htm4 | HTM17 htm5 | HTM18 htm6 | HTM15 htm7 | HTM04 htm8 | HTM12 htm9 | HTM03 htm10 | HTM13 htm11 | HTM07 htm12 | HTM06 htm13 | HTM09 htm14 | HTM08 [Aug 11 15:43:27 2020]: Links being tested: Hub1 Readout Aurora Lane 0 to Hub2 Source: HUB_SLOT1, GT_ch54, Ultrascale GTY Dest: HUB_SLOT2, GT_ch79, Ultrascale GTY Hub1 Readout Aurora Lane 1 to Hub2 Source: HUB_SLOT1, GT_ch52, Ultrascale GTY Dest: HUB_SLOT2, GT_ch78, Ultrascale GTY Hub1 Readout Aurora Lane 0 to ROD Source: HUB_SLOT1, GT_ch20, Ultrascale GTH Dest: None Hub1 Readout Aurora Lane 1 to ROD Source: HUB_SLOT1, GT_ch18, Ultrascale GTH Dest: None Hub2 Readout Aurora Lane 0 to Hub1 Source: HUB_SLOT2, GT_ch54, Ultrascale GTY Dest: HUB_SLOT1, GT_ch79, Ultrascale GTY Hub2 Readout Aurora Lane 1 to Hub1 Source: HUB_SLOT2, GT_ch52, Ultrascale GTY Dest: HUB_SLOT1, GT_ch78, Ultrascale GTY Hub2 Readout Aurora Lane 0 to ROD Source: HUB_SLOT2, GT_ch20, Ultrascale GTH Dest: None Hub2 Readout Aurora Lane 1 to ROD Source: HUB_SLOT2, GT_ch18, Ultrascale GTH Dest: None Hub1 Combined Data to FEX 03 Source: HUB_SLOT1, GT_ch62, Ultrascale GTY Dest: HTM01, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 04 Source: HUB_SLOT1, GT_ch64, Ultrascale GTY Dest: HTM17, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 05 Source: HUB_SLOT1, GT_ch66, Ultrascale GTY Dest: HTM18, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 06 Source: HUB_SLOT1, GT_ch75, Ultrascale GTY Dest: HTM15, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 07 Source: HUB_SLOT1, GT_ch78, Ultrascale GTY Dest: HTM04, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 08 Source: HUB_SLOT1, GT_ch79, Ultrascale GTY Dest: HTM12, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 09 Source: HUB_SLOT1, GT_ch39, Ultrascale GTH Dest: HTM03, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 10 Source: HUB_SLOT1, GT_ch38, Ultrascale GTH Dest: HTM13, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 11 Source: HUB_SLOT1, GT_ch35, Ultrascale GTH Dest: HTM07, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 12 Source: HUB_SLOT1, GT_ch26, Ultrascale GTH Dest: HTM06, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 13 Source: HUB_SLOT1, GT_ch24, Ultrascale GTH Dest: HTM09, GT_ch9, 7 Series GTX Hub1 Combined Data to FEX 14 Source: HUB_SLOT1, GT_ch22, Ultrascale GTH Dest: HTM08, GT_ch9, 7 Series GTX Hub1 Combined Data to Hub2 Source: HUB_SLOT1, GT_ch60, Ultrascale GTY Dest: HUB_SLOT2, GT_ch41, Ultrascale GTY Hub1 Combined Data to ROD Source: HUB_SLOT1, GT_ch16, Ultrascale GTH Dest: None Hub2 Combined Data to FEX 03 Source: HUB_SLOT2, GT_ch62, Ultrascale GTY Dest: HTM01, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 04 Source: HUB_SLOT2, GT_ch64, Ultrascale GTY Dest: HTM17, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 05 Source: HUB_SLOT2, GT_ch66, Ultrascale GTY Dest: HTM18, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 06 Source: HUB_SLOT2, GT_ch75, Ultrascale GTY Dest: HTM15, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 07 Source: HUB_SLOT2, GT_ch78, Ultrascale GTY Dest: HTM04, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 08 Source: HUB_SLOT2, GT_ch79, Ultrascale GTY Dest: HTM12, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 09 Source: HUB_SLOT2, GT_ch39, Ultrascale GTH Dest: HTM03, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 10 Source: HUB_SLOT2, GT_ch38, Ultrascale GTH Dest: HTM13, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 11 Source: HUB_SLOT2, GT_ch35, Ultrascale GTH Dest: HTM07, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 12 Source: HUB_SLOT2, GT_ch26, Ultrascale GTH Dest: HTM06, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 13 Source: HUB_SLOT2, GT_ch24, Ultrascale GTH Dest: HTM09, GT_ch6, 7 Series GTX Hub2 Combined Data to FEX 14 Source: HUB_SLOT2, GT_ch22, Ultrascale GTH Dest: HTM08, GT_ch6, 7 Series GTX Hub2 Combined Data to Hub1 Source: HUB_SLOT2, GT_ch60, Ultrascale GTH Dest: HUB_SLOT1, GT_ch41, Ultrascale GTY Hub2 Combined Data to ROD Source: HUB_SLOT2, GT_ch16, Ultrascale GTH Dest: None HTM3 FEX Data Lane 0 to Hub1 Source: HTM01, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch49, Ultrascale GTY HTM3 FEX Data Lane 1 to Hub1 Source: HTM01, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch48, Ultrascale GTY HTM3 FEX Data Lane 2 to Hub1 Source: HTM01, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch47, Ultrascale GTY HTM3 FEX Data Lane 3 to Hub1 Source: HTM01, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch46, Ultrascale GTY HTM3 FEX Data Lane 4 to Hub1 Source: HTM01, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch45, Ultrascale GTY HTM3 FEX Data Lane 5 to Hub1 Source: HTM01, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch44, Ultrascale GTY HTM3 FEX Data Lane 0 to Hub2 Source: HTM01, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch49, Ultrascale GTY HTM3 FEX Data Lane 1 to Hub2 Source: HTM01, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch48, Ultrascale GTY HTM3 FEX Data Lane 2 to Hub2 Source: HTM01, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch47, Ultrascale GTY HTM3 FEX Data Lane 3 to Hub2 Source: HTM01, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch46, Ultrascale GTY HTM3 FEX Data Lane 4 to Hub2 Source: HTM01, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch45, Ultrascale GTY HTM3 FEX Data Lane 5 to Hub2 Source: HTM01, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch44, Ultrascale GTY HTM4 FEX Data Lane 0 to Hub1 Source: HTM17, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch43, Ultrascale GTY HTM4 FEX Data Lane 1 to Hub1 Source: HTM17, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch42, Ultrascale GTY HTM4 FEX Data Lane 2 to Hub1 Source: HTM17, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch57, Ultrascale GTY HTM4 FEX Data Lane 3 to Hub1 Source: HTM17, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch56, Ultrascale GTY HTM4 FEX Data Lane 4 to Hub1 Source: HTM17, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch55, Ultrascale GTY HTM4 FEX Data Lane 5 to Hub1 Source: HTM17, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch54, Ultrascale GTY HTM4 FEX Data Lane 0 to Hub2 Source: HTM17, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch43, Ultrascale GTY HTM4 FEX Data Lane 1 to Hub2 Source: HTM17, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch42, Ultrascale GTY HTM4 FEX Data Lane 2 to Hub2 Source: HTM17, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch57, Ultrascale GTY HTM4 FEX Data Lane 3 to Hub2 Source: HTM17, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch56, Ultrascale GTY HTM4 FEX Data Lane 4 to Hub2 Source: HTM17, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch55, Ultrascale GTY HTM4 FEX Data Lane 5 to Hub2 Source: HTM17, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch54, Ultrascale GTY HTM5 FEX Data Lane 0 to Hub1 Source: HTM18, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch53, Ultrascale GTY HTM5 FEX Data Lane 1 to Hub1 Source: HTM18, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch52, Ultrascale GTY HTM5 FEX Data Lane 2 to Hub1 Source: HTM18, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch51, Ultrascale GTY HTM5 FEX Data Lane 3 to Hub1 Source: HTM18, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch50, Ultrascale GTY HTM5 FEX Data Lane 4 to Hub1 Source: HTM18, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch65, Ultrascale GTY HTM5 FEX Data Lane 5 to Hub1 Source: HTM18, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch64, Ultrascale GTY HTM5 FEX Data Lane 0 to Hub2 Source: HTM18, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch53, Ultrascale GTY HTM5 FEX Data Lane 1 to Hub2 Source: HTM18, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch52, Ultrascale GTY HTM5 FEX Data Lane 2 to Hub2 Source: HTM18, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch51, Ultrascale GTY HTM5 FEX Data Lane 3 to Hub2 Source: HTM18, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch50, Ultrascale GTY HTM5 FEX Data Lane 4 to Hub2 Source: HTM18, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch65, Ultrascale GTY HTM5 FEX Data Lane 5 to Hub2 Source: HTM18, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch64, Ultrascale GTY HTM6 FEX Data Lane 0 to Hub1 Source: HTM15, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch63, Ultrascale GTY HTM6 FEX Data Lane 1 to Hub1 Source: HTM15, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch62, Ultrascale GTY HTM6 FEX Data Lane 2 to Hub1 Source: HTM15, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch61, Ultrascale GTY HTM6 FEX Data Lane 3 to Hub1 Source: HTM15, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch60, Ultrascale GTY HTM6 FEX Data Lane 4 to Hub1 Source: HTM15, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch59, Ultrascale GTY HTM6 FEX Data Lane 5 to Hub1 Source: HTM15, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch58, Ultrascale GTY HTM6 FEX Data Lane 0 to Hub2 Source: HTM15, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch63, Ultrascale GTY HTM6 FEX Data Lane 1 to Hub2 Source: HTM15, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch62, Ultrascale GTY HTM6 FEX Data Lane 2 to Hub2 Source: HTM15, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch61, Ultrascale GTY HTM6 FEX Data Lane 3 to Hub2 Source: HTM15, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch60, Ultrascale GTY HTM6 FEX Data Lane 4 to Hub2 Source: HTM15, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch59, Ultrascale GTY HTM6 FEX Data Lane 5 to Hub2 Source: HTM15, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch58, Ultrascale GTY HTM7 FEX Data Lane 0 to Hub1 Source: HTM04, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch75, Ultrascale GTY HTM7 FEX Data Lane 1 to Hub1 Source: HTM04, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch74, Ultrascale GTY HTM7 FEX Data Lane 2 to Hub1 Source: HTM04, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch73, Ultrascale GTY HTM7 FEX Data Lane 3 to Hub1 Source: HTM04, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch72, Ultrascale GTY HTM7 FEX Data Lane 4 to Hub1 Source: HTM04, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch69, Ultrascale GTY HTM7 FEX Data Lane 5 to Hub1 Source: HTM04, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch68, Ultrascale GTY HTM7 FEX Data Lane 0 to Hub2 Source: HTM04, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch75, Ultrascale GTY HTM7 FEX Data Lane 1 to Hub2 Source: HTM04, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch74, Ultrascale GTY HTM7 FEX Data Lane 2 to Hub2 Source: HTM04, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch73, Ultrascale GTY HTM7 FEX Data Lane 3 to Hub2 Source: HTM04, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch72, Ultrascale GTY HTM7 FEX Data Lane 4 to Hub2 Source: HTM04, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch69, Ultrascale GTY HTM7 FEX Data Lane 5 to Hub2 Source: HTM04, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch68, Ultrascale GTY HTM8 FEX Data Lane 0 to Hub1 Source: HTM12, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch67, Ultrascale GTY HTM8 FEX Data Lane 1 to Hub1 Source: HTM12, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch66, Ultrascale GTY HTM8 FEX Data Lane 2 to Hub1 Source: HTM12, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch30, Ultrascale GTH HTM8 FEX Data Lane 3 to Hub1 Source: HTM12, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch31, Ultrascale GTH HTM8 FEX Data Lane 4 to Hub1 Source: HTM12, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch71, Ultrascale GTY HTM8 FEX Data Lane 5 to Hub1 Source: HTM12, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch70, Ultrascale GTY HTM8 FEX Data Lane 0 to Hub2 Source: HTM12, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch67, Ultrascale GTY HTM8 FEX Data Lane 1 to Hub2 Source: HTM12, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch66, Ultrascale GTY HTM8 FEX Data Lane 2 to Hub2 Source: HTM12, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch30, Ultrascale GTH HTM8 FEX Data Lane 3 to Hub2 Source: HTM12, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch31, Ultrascale GTH HTM8 FEX Data Lane 4 to Hub2 Source: HTM12, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch71, Ultrascale GTY HTM8 FEX Data Lane 5 to Hub2 Source: HTM12, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch70, Ultrascale GTY HTM9 FEX Data Lane 0 to Hub1 Source: HTM03, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch77, Ultrascale GTY HTM9 FEX Data Lane 1 to Hub1 Source: HTM03, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch76, Ultrascale GTY HTM9 FEX Data Lane 2 to Hub1 Source: HTM03, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch32, Ultrascale GTH HTM9 FEX Data Lane 3 to Hub1 Source: HTM03, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch33, Ultrascale GTH HTM9 FEX Data Lane 4 to Hub1 Source: HTM03, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch34, Ultrascale GTH HTM9 FEX Data Lane 5 to Hub1 Source: HTM03, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch35, Ultrascale GTH HTM9 FEX Data Lane 0 to Hub2 Source: HTM03, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch77, Ultrascale GTY HTM9 FEX Data Lane 1 to Hub2 Source: HTM03, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch76, Ultrascale GTY HTM9 FEX Data Lane 2 to Hub2 Source: HTM03, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch32, Ultrascale GTH HTM9 FEX Data Lane 3 to Hub2 Source: HTM03, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch33, Ultrascale GTH HTM9 FEX Data Lane 4 to Hub2 Source: HTM03, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch34, Ultrascale GTH HTM9 FEX Data Lane 5 to Hub2 Source: HTM03, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch35, Ultrascale GTH HTM10 FEX Data Lane 0 to Hub1 Source: HTM13, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch36, Ultrascale GTH HTM10 FEX Data Lane 1 to Hub1 Source: HTM13, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch37, Ultrascale GTH HTM10 FEX Data Lane 2 to Hub1 Source: HTM13, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch38, Ultrascale GTH HTM10 FEX Data Lane 3 to Hub1 Source: HTM13, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch39, Ultrascale GTH HTM10 FEX Data Lane 4 to Hub1 Source: HTM13, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch22, Ultrascale GTH HTM10 FEX Data Lane 5 to Hub1 Source: HTM13, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch23, Ultrascale GTH HTM10 FEX Data Lane 0 to Hub2 Source: HTM13, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch36, Ultrascale GTH HTM10 FEX Data Lane 1 to Hub2 Source: HTM13, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch37, Ultrascale GTH HTM10 FEX Data Lane 2 to Hub2 Source: HTM13, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch38, Ultrascale GTH HTM10 FEX Data Lane 3 to Hub2 Source: HTM13, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch39, Ultrascale GTH HTM10 FEX Data Lane 4 to Hub2 Source: HTM13, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch22, Ultrascale GTH HTM10 FEX Data Lane 5 to Hub2 Source: HTM13, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch23, Ultrascale GTH HTM11 FEX Data Lane 0 to Hub1 Source: HTM07, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch24, Ultrascale GTH HTM11 FEX Data Lane 1 to Hub1 Source: HTM07, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch25, Ultrascale GTH HTM11 FEX Data Lane 2 to Hub1 Source: HTM07, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch26, Ultrascale GTH HTM11 FEX Data Lane 3 to Hub1 Source: HTM07, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch27, Ultrascale GTH HTM11 FEX Data Lane 4 to Hub1 Source: HTM07, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch28, Ultrascale GTH HTM11 FEX Data Lane 5 to Hub1 Source: HTM07, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch29, Ultrascale GTH HTM11 FEX Data Lane 0 to Hub2 Source: HTM07, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch24, Ultrascale GTH HTM11 FEX Data Lane 1 to Hub2 Source: HTM07, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch25, Ultrascale GTH HTM11 FEX Data Lane 2 to Hub2 Source: HTM07, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch26, Ultrascale GTH HTM11 FEX Data Lane 3 to Hub2 Source: HTM07, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch27, Ultrascale GTH HTM11 FEX Data Lane 4 to Hub2 Source: HTM07, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch28, Ultrascale GTH HTM11 FEX Data Lane 5 to Hub2 Source: HTM07, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch29, Ultrascale GTH HTM12 FEX Data Lane 0 to Hub1 Source: HTM06, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch14, Ultrascale GTH HTM12 FEX Data Lane 1 to Hub1 Source: HTM06, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch15, Ultrascale GTH HTM12 FEX Data Lane 2 to Hub1 Source: HTM06, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch16, Ultrascale GTH HTM12 FEX Data Lane 3 to Hub1 Source: HTM06, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch17, Ultrascale GTH HTM12 FEX Data Lane 4 to Hub1 Source: HTM06, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch18, Ultrascale GTH HTM12 FEX Data Lane 5 to Hub1 Source: HTM06, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch19, Ultrascale GTH HTM12 FEX Data Lane 0 to Hub2 Source: HTM06, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch14, Ultrascale GTH HTM12 FEX Data Lane 1 to Hub2 Source: HTM06, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch15, Ultrascale GTH HTM12 FEX Data Lane 2 to Hub2 Source: HTM06, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch16, Ultrascale GTH HTM12 FEX Data Lane 3 to Hub2 Source: HTM06, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch17, Ultrascale GTH HTM12 FEX Data Lane 4 to Hub2 Source: HTM06, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch18, Ultrascale GTH HTM12 FEX Data Lane 5 to Hub2 Source: HTM06, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch19, Ultrascale GTH HTM13 FEX Data Lane 0 to Hub1 Source: HTM09, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch20, Ultrascale GTH HTM13 FEX Data Lane 1 to Hub1 Source: HTM09, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch21, Ultrascale GTH HTM13 FEX Data Lane 2 to Hub1 Source: HTM09, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch4, Ultrascale GTH HTM13 FEX Data Lane 3 to Hub1 Source: HTM09, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch5, Ultrascale GTH HTM13 FEX Data Lane 4 to Hub1 Source: HTM09, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch6, Ultrascale GTH HTM13 FEX Data Lane 5 to Hub1 Source: HTM09, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch7, Ultrascale GTH HTM13 FEX Data Lane 0 to Hub2 Source: HTM09, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch20, Ultrascale GTH HTM13 FEX Data Lane 1 to Hub2 Source: HTM09, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch21, Ultrascale GTH HTM13 FEX Data Lane 2 to Hub2 Source: HTM09, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch4, Ultrascale GTH HTM13 FEX Data Lane 3 to Hub2 Source: HTM09, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch5, Ultrascale GTH HTM13 FEX Data Lane 4 to Hub2 Source: HTM09, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch6, Ultrascale GTH HTM13 FEX Data Lane 5 to Hub2 Source: HTM09, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch7, Ultrascale GTH HTM14 FEX Data Lane 0 to Hub1 Source: HTM08, GT_ch10, 7 Series GTX Dest: HUB_SLOT1, GT_ch8, Ultrascale GTH HTM14 FEX Data Lane 1 to Hub1 Source: HTM08, GT_ch11, 7 Series GTX Dest: HUB_SLOT1, GT_ch9, Ultrascale GTH HTM14 FEX Data Lane 2 to Hub1 Source: HTM08, GT_ch6, 7 Series GTX Dest: HUB_SLOT1, GT_ch10, Ultrascale GTH HTM14 FEX Data Lane 3 to Hub1 Source: HTM08, GT_ch8, 7 Series GTX Dest: HUB_SLOT1, GT_ch11, Ultrascale GTH HTM14 FEX Data Lane 4 to Hub1 Source: HTM08, GT_ch7, 7 Series GTX Dest: HUB_SLOT1, GT_ch12, Ultrascale GTH HTM14 FEX Data Lane 5 to Hub1 Source: HTM08, GT_ch9, 7 Series GTX Dest: HUB_SLOT1, GT_ch13, Ultrascale GTH HTM14 FEX Data Lane 0 to Hub2 Source: HTM08, GT_ch4, 7 Series GTX Dest: HUB_SLOT2, GT_ch8, Ultrascale GTH HTM14 FEX Data Lane 1 to Hub2 Source: HTM08, GT_ch5, 7 Series GTX Dest: HUB_SLOT2, GT_ch9, Ultrascale GTH HTM14 FEX Data Lane 2 to Hub2 Source: HTM08, GT_ch0, 7 Series GTX Dest: HUB_SLOT2, GT_ch10, Ultrascale GTH HTM14 FEX Data Lane 3 to Hub2 Source: HTM08, GT_ch2, 7 Series GTX Dest: HUB_SLOT2, GT_ch11, Ultrascale GTH HTM14 FEX Data Lane 4 to Hub2 Source: HTM08, GT_ch1, 7 Series GTX Dest: HUB_SLOT2, GT_ch12, Ultrascale GTH HTM14 FEX Data Lane 5 to Hub2 Source: HTM08, GT_ch3, 7 Series GTX Dest: HUB_SLOT2, GT_ch13, Ultrascale GTH Hub1 TX MiniPOD Channel 0 to HTM3 RX MiniPOD Channel 11 Source: HUB_SLOT1, GT_ch14, Ultrascale GTH Dest: HTM01, GT_ch10, 7 Series GTX Hub1 TX MiniPOD Channel 1 to HTM3 RX MiniPOD Channel 10 Source: HUB_SLOT1, GT_ch12, Ultrascale GTH Dest: HTM01, GT_ch5, 7 Series GTX Hub1 TX MiniPOD Channel 2 to HTM3 RX MiniPOD Channel 9 Source: HUB_SLOT1, GT_ch10, Ultrascale GTH Dest: HTM01, GT_ch11, 7 Series GTX Hub1 TX MiniPOD Channel 4 to HTM3 RX MiniPOD Channel 7 Source: HUB_SLOT1, GT_ch8, Ultrascale GTH Dest: HTM01, GT_ch12, 7 Series GTX Hub1 TX MiniPOD Channel 6 to HTM3 RX MiniPOD Channel 5 Source: HUB_SLOT1, GT_ch4, Ultrascale GTH Dest: HTM01, GT_ch13, 7 Series GTX Hub1 TX MiniPOD Channel 8 to HTM3 RX MiniPOD Channel 3 Source: HUB_SLOT1, GT_ch4, Ultrascale GTH Dest: HTM01, GT_ch14, 7 Series GTX Hub1 TX MiniPOD Channel 10 to HTM3 RX MiniPOD Channel 1 Source: HUB_SLOT1, GT_ch2, Ultrascale GTH Dest: HTM01, GT_ch15, 7 Series GTX Hub1 TX MiniPOD Channel 11 to HTM3 RX MiniPOD Channel 0 Source: HUB_SLOT1, GT_ch0, Ultrascale GTH Dest: HTM01, GT_ch0, 7 Series GTX Hub2 TX MiniPOD Channel 0 to HTM4 RX MiniPOD Channel 11 Source: HUB_SLOT2, GT_ch14, Ultrascale GTH Dest: HTM17, GT_ch10, 7 Series GTX Hub2 TX MiniPOD Channel 1 to HTM4 RX MiniPOD Channel 10 Source: HUB_SLOT2, GT_ch12, Ultrascale GTH Dest: HTM17, GT_ch5, 7 Series GTX Hub2 TX MiniPOD Channel 2 to HTM4 RX MiniPOD Channel 9 Source: HUB_SLOT2, GT_ch10, Ultrascale GTH Dest: HTM17, GT_ch11, 7 Series GTX Hub2 TX MiniPOD Channel 4 to HTM4 RX MiniPOD Channel 7 Source: HUB_SLOT2, GT_ch8, Ultrascale GTH Dest: HTM17, GT_ch12, 7 Series GTX Hub2 TX MiniPOD Channel 6 to HTM4 RX MiniPOD Channel 5 Source: HUB_SLOT2, GT_ch6, Ultrascale GTH Dest: HTM17, GT_ch13, 7 Series GTX Hub2 TX MiniPOD Channel 8 to HTM4 RX MiniPOD Channel 3 Source: HUB_SLOT2, GT_ch4, Ultrascale GTH Dest: HTM17, GT_ch14, 7 Series GTX Hub2 TX MiniPOD Channel 10 to HTM4 RX MiniPOD Channel 1 Source: HUB_SLOT2, GT_ch2, Ultrascale GTH Dest: HTM17, GT_ch15, 7 Series GTX Hub2 TX MiniPOD Channel 11 to HTM4 RX MiniPOD Channel 0 Source: HUB_SLOT2, GT_ch0, Ultrascale GTH Dest: HTM17, GT_ch0, 7 Series GTX HTM4 TX MiniPOD Channel 2 to Hub2 RX MiniPOD Channel 6 Source: HTM17, GT_ch12, 7 Series GTX Dest: HUB_SLOT2, GT_ch0, Ultrascale GTH HTM4 TX MiniPOD Channel 0 to Hub2 RX MiniPOD Channel 2 Source: HTM17, GT_ch13, 7 Series GTX Dest: HUB_SLOT2, GT_ch2, Ultrascale GTH HTM4 TX MiniPOD Channel 1 to Hub2 RX MiniPOD Channel 4 Source: HTM17, GT_ch14, 7 Series GTX Dest: HUB_SLOT2, GT_ch1, Ultrascale GTH HTM4 TX MiniPOD Channel 3 to Hub2 RX MiniPOD Channel 8 Source: HTM17, GT_ch15, 7 Series GTX Dest: HUB_SLOT2, GT_ch40, Ultrascale GTY Readout Control Data from ROD to Hub1 Source: None Dest: HUB_SLOT1, GT_ch3, Ultrascale GTH Readout Control Data from ROD to Hub2 Source: None Dest: HUB_SLOT2, GT_ch3, Ultrascale GTH [Aug 11 15:43:27 2020]: ====================================================================== | Performing Initialization | ====================================================================== [Aug 11 15:43:27 2020]: Using the following initialization parameters: Per-Card Parameters: { "mgt equalizer": "OFF" } Per-Link Parameters: { "DFE": "OFF", "post-emphasis": "0", "pre-emphasis": "0", "prbs-select": "PRBS-31", "swing": "950" } [Aug 11 15:43:33 2020]: Performing TX MGT Resets ... [Aug 11 15:43:49 2020]: Performing RX MGT Resets ... [Aug 11 15:44:05 2020]: Checking Init Done Bit ... [Aug 11 15:44:05 2020]: WARNING - Init done bit is low on the following transmitters/receivers: Link: Hub1 TX MiniPOD Channel 11 to HTM3 RX MiniPOD Channel 0 | source Link: Hub2 TX MiniPOD Channel 11 to HTM4 RX MiniPOD Channel 0 | source Link: HTM4 TX MiniPOD Channel 2 to Hub2 RX MiniPOD Channel 6 | dest Checking Rxprbslocked Bit ... WARNING - Rxprbslocked bit is low on the following Ultrascale GTH/Ultrascale GTY receivers: Link: HTM4 TX MiniPOD Channel 2 to Hub2 RX MiniPOD Channel 6 Link: Readout Control Data from ROD to Hub1 Link: Readout Control Data from ROD to Hub2 Resetting Error Counts ... [Aug 11 15:44:16 2020]: ====================================================================== | Error Report | ====================================================================== List of Channels with Errors: Link: Hub2 Combined Data to Hub1 | Error Count: 217730150 Link: Hub1 TX MiniPOD Channel 11 to HTM3 RX MiniPOD Channel 0 | Error Count: 51794344 Link: Hub2 TX MiniPOD Channel 11 to HTM4 RX MiniPOD Channel 0 | Error Count: 51622771 Link: Readout Control Data from ROD to Hub1 | Error Count: 206109775 Link: Readout Control Data from ROD to Hub2 | Error Count: 206037155 [Aug 11 15:44:16 2020]: Error Report Finished [Aug 11 15:44:16 2020]: ====================================================================== | Finding Link Routes | ====================================================================== [Aug 11 15:44:16 2020]: Links with initial errors: hub2_combined_data_to_hub1 hub1_tx_minipod_ch11_to_htm3_rx_minipod_ch0 hub2_tx_minipod_ch11_to_htm4_rx_minipod_ch0 readout_control_data_from_ROD_to_hub1 readout_control_data_from_ROD_to_hub2 ---------------------------------------------------------------------- [Aug 11 15:44:17 2020]: Status of Link Routes: Source Link: hub1_readout_AL_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:19 2020]: Source Link: hub1_readout_AL_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:19 2020]: Source Link: hub1_readout_AL_0_to_ROD Null - No errors found on any links [Aug 11 15:44:20 2020]: Source Link: hub1_readout_AL_1_to_ROD Null - No errors found on any links [Aug 11 15:44:21 2020]: Source Link: hub2_readout_AL_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:22 2020]: Source Link: hub2_readout_AL_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:23 2020]: Source Link: hub2_readout_AL_0_to_ROD Null - No errors found on any links [Aug 11 15:44:24 2020]: Source Link: hub2_readout_AL_1_to_ROD Null - No errors found on any links [Aug 11 15:44:25 2020]: Source Link: hub1_combined_data_to_FEX_03 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:26 2020]: Source Link: hub1_combined_data_to_FEX_04 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:27 2020]: Source Link: hub1_combined_data_to_FEX_05 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:28 2020]: Source Link: hub1_combined_data_to_FEX_06 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:29 2020]: Source Link: hub1_combined_data_to_FEX_07 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:31 2020]: Source Link: hub1_combined_data_to_FEX_08 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:32 2020]: Source Link: hub1_combined_data_to_FEX_09 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:33 2020]: Source Link: hub1_combined_data_to_FEX_10 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:34 2020]: Source Link: hub1_combined_data_to_FEX_11 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:35 2020]: Source Link: hub1_combined_data_to_FEX_12 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:36 2020]: Source Link: hub1_combined_data_to_FEX_13 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:37 2020]: Source Link: hub1_combined_data_to_FEX_14 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:39 2020]: Source Link: hub1_combined_data_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:39 2020]: Source Link: hub1_combined_data_to_ROD Null - No errors found on any links [Aug 11 15:44:40 2020]: Source Link: hub2_combined_data_to_FEX_03 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:42 2020]: Source Link: hub2_combined_data_to_FEX_04 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:43 2020]: Source Link: hub2_combined_data_to_FEX_05 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:44 2020]: Source Link: hub2_combined_data_to_FEX_06 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:45 2020]: Source Link: hub2_combined_data_to_FEX_07 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:46 2020]: Source Link: hub2_combined_data_to_FEX_08 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:47 2020]: Source Link: hub2_combined_data_to_FEX_09 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:48 2020]: Source Link: hub2_combined_data_to_FEX_10 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:50 2020]: Source Link: hub2_combined_data_to_FEX_11 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:51 2020]: Source Link: hub2_combined_data_to_FEX_12 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:52 2020]: Source Link: hub2_combined_data_to_FEX_13 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:53 2020]: Source Link: hub2_combined_data_to_FEX_14 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:54 2020]: Source Link: hub2_combined_data_to_hub1 Null - No errors found on any links [Aug 11 15:44:54 2020]: Source Link: hub2_combined_data_to_ROD Null - No errors found on any links [Aug 11 15:44:55 2020]: Source Link: htm3_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:57 2020]: Source Link: htm3_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:58 2020]: Source Link: htm3_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:44:59 2020]: Source Link: htm3_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:00 2020]: Source Link: htm3_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:01 2020]: Source Link: htm3_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:02 2020]: Source Link: htm3_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:04 2020]: Source Link: htm3_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:05 2020]: Source Link: htm3_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:06 2020]: Source Link: htm3_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:07 2020]: Source Link: htm3_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:08 2020]: Source Link: htm3_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:09 2020]: Source Link: htm4_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:10 2020]: Source Link: htm4_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:12 2020]: Source Link: htm4_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:13 2020]: Source Link: htm4_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:14 2020]: Source Link: htm4_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:15 2020]: Source Link: htm4_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:16 2020]: Source Link: htm4_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:17 2020]: Source Link: htm4_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:19 2020]: Source Link: htm4_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:20 2020]: Source Link: htm4_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:21 2020]: Source Link: htm4_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:22 2020]: Source Link: htm4_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:23 2020]: Source Link: htm5_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:24 2020]: Source Link: htm5_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:25 2020]: Source Link: htm5_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:27 2020]: Source Link: htm5_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:28 2020]: Source Link: htm5_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:29 2020]: Source Link: htm5_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:30 2020]: Source Link: htm5_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:31 2020]: Source Link: htm5_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:32 2020]: Source Link: htm5_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:34 2020]: Source Link: htm5_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:35 2020]: Source Link: htm5_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:36 2020]: Source Link: htm5_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:37 2020]: Source Link: htm6_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:38 2020]: Source Link: htm6_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:39 2020]: Source Link: htm6_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:40 2020]: Source Link: htm6_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:42 2020]: Source Link: htm6_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:43 2020]: Source Link: htm6_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:44 2020]: Source Link: htm6_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:45 2020]: Source Link: htm6_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:46 2020]: Source Link: htm6_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:47 2020]: Source Link: htm6_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:49 2020]: Source Link: htm6_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:50 2020]: Source Link: htm6_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:51 2020]: Source Link: htm7_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:52 2020]: Source Link: htm7_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:53 2020]: Source Link: htm7_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:54 2020]: Source Link: htm7_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:56 2020]: Source Link: htm7_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:57 2020]: Source Link: htm7_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:58 2020]: Source Link: htm7_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:45:59 2020]: Source Link: htm7_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:00 2020]: Source Link: htm7_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:01 2020]: Source Link: htm7_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:02 2020]: Source Link: htm7_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:04 2020]: Source Link: htm7_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:05 2020]: Source Link: htm8_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:06 2020]: Source Link: htm8_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:07 2020]: Source Link: htm8_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:08 2020]: Source Link: htm8_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:09 2020]: Source Link: htm8_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:11 2020]: Source Link: htm8_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:12 2020]: Source Link: htm8_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:13 2020]: Source Link: htm8_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:14 2020]: Source Link: htm8_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:15 2020]: Source Link: htm8_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:16 2020]: Source Link: htm8_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:17 2020]: Source Link: htm8_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:19 2020]: Source Link: htm9_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:20 2020]: Source Link: htm9_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:21 2020]: Source Link: htm9_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:22 2020]: Source Link: htm9_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:23 2020]: Source Link: htm9_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:24 2020]: Source Link: htm9_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:25 2020]: Source Link: htm9_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:27 2020]: Source Link: htm9_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:28 2020]: Source Link: htm9_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:29 2020]: Source Link: htm9_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:30 2020]: Source Link: htm9_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:31 2020]: Source Link: htm9_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:32 2020]: Source Link: htm10_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:34 2020]: Source Link: htm10_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:35 2020]: Source Link: htm10_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:36 2020]: Source Link: htm10_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:37 2020]: Source Link: htm10_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:38 2020]: Source Link: htm10_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:39 2020]: Source Link: htm10_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:40 2020]: Source Link: htm10_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:42 2020]: Source Link: htm10_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:43 2020]: Source Link: htm10_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:44 2020]: Source Link: htm10_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:45 2020]: Source Link: htm10_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:46 2020]: Source Link: htm11_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:47 2020]: Source Link: htm11_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:49 2020]: Source Link: htm11_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:50 2020]: Source Link: htm11_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:51 2020]: Source Link: htm11_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:52 2020]: Source Link: htm11_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:53 2020]: Source Link: htm11_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:54 2020]: Source Link: htm11_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:55 2020]: Source Link: htm11_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:57 2020]: Source Link: htm11_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:58 2020]: Source Link: htm11_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:46:59 2020]: Source Link: htm11_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:00 2020]: Source Link: htm12_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:01 2020]: Source Link: htm12_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:02 2020]: Source Link: htm12_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:04 2020]: Source Link: htm12_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:05 2020]: Source Link: htm12_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:06 2020]: Source Link: htm12_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:07 2020]: Source Link: htm12_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:08 2020]: Source Link: htm12_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:09 2020]: Source Link: htm12_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:11 2020]: Source Link: htm12_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:12 2020]: Source Link: htm12_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:13 2020]: Source Link: htm12_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:14 2020]: Source Link: htm13_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:15 2020]: Source Link: htm13_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:16 2020]: Source Link: htm13_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:17 2020]: Source Link: htm13_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:19 2020]: Source Link: htm13_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:20 2020]: Source Link: htm13_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:21 2020]: Source Link: htm13_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:22 2020]: Source Link: htm13_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:23 2020]: Source Link: htm13_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:24 2020]: Source Link: htm13_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:25 2020]: Source Link: htm13_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:27 2020]: Source Link: htm13_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:28 2020]: Source Link: htm14_FEX_data_lane_0_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:29 2020]: Source Link: htm14_FEX_data_lane_1_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:30 2020]: Source Link: htm14_FEX_data_lane_2_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:31 2020]: Source Link: htm14_FEX_data_lane_3_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:32 2020]: Source Link: htm14_FEX_data_lane_4_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:33 2020]: Source Link: htm14_FEX_data_lane_5_to_hub1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:35 2020]: Source Link: htm14_FEX_data_lane_0_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:36 2020]: Source Link: htm14_FEX_data_lane_1_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:37 2020]: Source Link: htm14_FEX_data_lane_2_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:38 2020]: Source Link: htm14_FEX_data_lane_3_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:39 2020]: Source Link: htm14_FEX_data_lane_4_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:40 2020]: Source Link: htm14_FEX_data_lane_5_to_hub2 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:42 2020]: Source Link: hub1_tx_minipod_ch0_to_htm3_rx_minipod_ch11 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:43 2020]: Source Link: hub1_tx_minipod_ch1_to_htm3_rx_minipod_ch10 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:44 2020]: Source Link: hub1_tx_minipod_ch2_to_htm3_rx_minipod_ch9 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:45 2020]: Source Link: hub1_tx_minipod_ch4_to_htm3_rx_minipod_ch7 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:46 2020]: Source Link: hub1_tx_minipod_ch6_to_htm3_rx_minipod_ch5 Mismatch - Error found on different link: hub1_tx_minipod_ch8_to_htm3_rx_minipod_ch3 [Aug 11 15:47:47 2020]: Source Link: hub1_tx_minipod_ch8_to_htm3_rx_minipod_ch3 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:48 2020]: Source Link: hub1_tx_minipod_ch10_to_htm3_rx_minipod_ch1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:49 2020]: Source Link: hub1_tx_minipod_ch11_to_htm3_rx_minipod_ch0 Null - No errors found on any links [Aug 11 15:47:50 2020]: Source Link: hub2_tx_minipod_ch0_to_htm4_rx_minipod_ch11 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:51 2020]: Source Link: hub2_tx_minipod_ch1_to_htm4_rx_minipod_ch10 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:53 2020]: Source Link: hub2_tx_minipod_ch2_to_htm4_rx_minipod_ch9 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:54 2020]: Source Link: hub2_tx_minipod_ch4_to_htm4_rx_minipod_ch7 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:55 2020]: Source Link: hub2_tx_minipod_ch6_to_htm4_rx_minipod_ch5 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:56 2020]: Source Link: hub2_tx_minipod_ch8_to_htm4_rx_minipod_ch3 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:57 2020]: Source Link: hub2_tx_minipod_ch10_to_htm4_rx_minipod_ch1 Good - Errors found on link destination and nowhere else. [Aug 11 15:47:58 2020]: Source Link: hub2_tx_minipod_ch11_to_htm4_rx_minipod_ch0 Null - No errors found on any links [Aug 11 15:47:59 2020]: Source Link: htm4_tx_minipod_ch2_to_hub2_rx_minipod_ch6 Null - No errors found on any links [Aug 11 15:48:00 2020]: Source Link: htm4_tx_minipod_ch0_to_hub2_rx_minipod_ch2 Good - Errors found on link destination and nowhere else. [Aug 11 15:48:01 2020]: Source Link: htm4_tx_minipod_ch1_to_hub2_rx_minipod_ch4 Good - Errors found on link destination and nowhere else. [Aug 11 15:48:02 2020]: Source Link: htm4_tx_minipod_ch3_to_hub2_rx_minipod_ch8 Good - Errors found on link destination and nowhere else. [Aug 11 15:48:02 2020]: Source Link: readout_control_data_from_ROD_to_hub1 Null - No errors found on any links [Aug 11 15:48:02 2020]: Source Link: readout_control_data_from_ROD_to_hub2 Null - No errors found on any links [Aug 11 15:48:02 2020]: ====================================================================== | Printing GT Registers | ====================================================================== ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_0_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch54 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch79 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_1_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch52 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch78 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_0_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch20 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_1_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch18 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_0_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch54 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch79 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_1_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch52 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch78 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_0_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch20 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_1_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch18 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_03 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch62 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_04 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch64 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_05 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch66 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM18.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:02 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_06 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch75 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM15.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_07 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch78 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM04.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_08 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch79 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM12.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_09 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch39 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM03.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_10 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch38 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM13.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_11 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch35 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM07.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_12 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch26 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM06.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_13 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch24 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM09.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_14 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch22 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM08.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch60 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch41 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch16 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_03 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch62 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_04 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch64 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_05 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch66 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM18.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_06 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch75 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM15.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_07 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch78 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM04.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_08 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch79 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM12.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_09 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch39 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM03.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_10 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch38 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM13.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:03 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_11 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch35 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM07.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_12 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch26 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM06.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_13 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch24 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM09.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_14 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch22 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM08.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch60 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch41 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00101000010111000001000111110100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch16 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch49 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch48 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch47 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001010101111101011110111101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch46 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001010110110011110011001111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch45 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM01.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch44 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001011000100100111011111110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch49 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch48 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001100001011101111101111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch47 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001000110001110101011100000110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch46 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001011100100000011010100110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch45 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM01.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001011101011101111110111101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch44 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch43 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch42 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch57 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:04 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001100000101110111010111010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch56 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001100001100111110110110110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch55 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM17.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch54 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001100011010001101100001100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch43 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch42 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011100001100001011001111101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch57 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001010010011101000011010011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch56 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001100110100001101001010110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch55 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM17.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001100111010111111001101110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch54 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101000001101101010111010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch53 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101001000001001001001011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch52 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch51 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101010110000111000011010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch50 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101011101110110010100101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch65 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM18.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch64 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101101110010101010001111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch53 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101110101100000110100010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch52 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001101111101100000100111011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch51 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110000100011000011101100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch50 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110001100000011100011011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch65 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM18.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110010011110100110110001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch64 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:05 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110011010000101000000101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch63 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110100001001111110001110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch62 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch61 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110101110111011110010000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch60 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001110110110110010100000111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch59 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM15.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch58 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111000100101110101001101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch63 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111001011100000100101001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch62 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111010010001000101101101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch61 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111011000001010100111001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch60 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111011111001010011001010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch59 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM15.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111100101101111101110111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch58 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111101011101110010111110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch75 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001001111110011000011101100111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch74 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch73 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000000000110100111101111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch72 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000001000000001111101111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch69 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM04.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch68 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000010101011011011101101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch75 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000011011110000100010000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch74 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000100011011000110101000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch73 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:06 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000101010101111100001000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch72 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000110010100000101011100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch69 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM04.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000111001011011000011000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch68 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010000111111101011001010010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch67 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001000111000010111110111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch66 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch30 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001010101010001011100000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch31 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001011100111101001001001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch71 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM12.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch70 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001101011110110000000101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch67 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001110010101111000010100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch66 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11111 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010001111001001011010101101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch30 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010000000010011011000010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch31 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010000111010000010100101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch71 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM12.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010001110011111101001011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch70 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010010011000101111000110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch77 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010011000101111110010001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch76 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch32 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010100110010010110110100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch33 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010101100100100001010011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch34 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:07 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM03.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch35 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010010111010101011110100010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch77 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011000001110111110100011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch76 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011001001010111101100011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch32 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011001110011010100111010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch33 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011010100111001001101011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch34 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM03.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011011111100101010111110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch35 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011100110011010000101101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch36 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011101100111000100011100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch37 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch38 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010011111010001010111011101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch39 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100000001010101011111001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch22 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM13.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch23 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100001110111111011110000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch36 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100010110000101001101010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch37 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100011101010000110100111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch38 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100100011011110111101100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch39 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100101001111111001101100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch22 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM13.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100110000001100111110001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch23 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100110111101000001110010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch24 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010100111111001010001001010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch25 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:08 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch26 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101001100110001111000101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch27 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101010011101101000111011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch28 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM07.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch29 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101100010111100011010000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch24 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101101010111001000111001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch25 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101110010010100101110111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch26 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010101111000111100110111110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch27 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110000001000101110010101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch28 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM07.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110001001010110111001011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch29 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110001111101011000000101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110010110100000101101111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch15 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch16 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110100100110100100000011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch17 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110101100010101101100010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch18 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM06.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch19 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010110111001101110010111110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111000000011100011100101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch15 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111000111000101110001111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch16 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111001101111110000110001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch17 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111010100101101000100001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch18 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:09 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM06.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111011011111101010001100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch19 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111100011010100100111100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch20 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111101001001000001010100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch21 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111110110010001100001011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001010111111010101001100010000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM09.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000001101010110010001011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch20 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000011010010000000011000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch21 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000100010000100001000111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000101000101101111111001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000101111010100110101111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM09.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000110101111010011100111 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011000111011111110011110101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001001000000101111011101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001010110011111110100011 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch7 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001011011110000110011110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM08.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT1.GT_ch13 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001101000011001101101000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:10 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001101110101110011101110 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch9 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001110101110010010011000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011001111100111111100110010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011010000100110101011001000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM08.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001011010001100011011000100101 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch13 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch0_to_htm3_rx_minipod_ch11 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch1_to_htm3_rx_minipod_ch10 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch2_to_htm3_rx_minipod_ch9 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch4_to_htm3_rx_minipod_ch7 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0000 tx_setup.swing : 0b00000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch6_to_htm3_rx_minipod_ch5 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch13 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0000 tx_setup.swing : 0b00000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch8_to_htm3_rx_minipod_ch3 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0000 tx_setup.swing : 0b00000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch10_to_htm3_rx_minipod_ch1 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00101111110100110100011010001000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch15 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0000 tx_setup.swing : 0b00000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch11_to_htm3_rx_minipod_ch0 ---------------------------------------------------------------------- Source: HUB_SLOT1.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b0 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b0 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b0 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM01.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001111010110011111111010110010 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch0_to_htm4_rx_minipod_ch11 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch1_to_htm4_rx_minipod_ch10 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch5 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch2_to_htm4_rx_minipod_ch9 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch10 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch11 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch4_to_htm4_rx_minipod_ch7 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch8 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch6_to_htm4_rx_minipod_ch5 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch6 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch13 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch8_to_htm4_rx_minipod_ch3 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch4 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch10_to_htm4_rx_minipod_ch1 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch15 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:11 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch11_to_htm4_rx_minipod_ch0 ---------------------------------------------------------------------- Source: HUB_SLOT2.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b0 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b0 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b0 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HTM17.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b10001111100100100100011010111100 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch2_to_hub2_rx_minipod_ch6 ---------------------------------------------------------------------- Source: HTM17.GT_ch12 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch0 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b0 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b0 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b0 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch0_to_hub2_rx_minipod_ch2 ---------------------------------------------------------------------- Source: HTM17.GT_ch13 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch2 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch1_to_hub2_rx_minipod_ch4 ---------------------------------------------------------------------- Source: HTM17.GT_ch14 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch1 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch3_to_hub2_rx_minipod_ch8 ---------------------------------------------------------------------- Source: HTM17.GT_ch15 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0100 tx_setup.swing : 0b01011 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0100 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b0 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b0 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b0 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b0 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b0 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 Dest: HUB_SLOT2.GT_ch40 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b11000 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b0 rx_status.rxprbslocked : 0b1 rx_count_err.rxprbserr_cnt : 0b00000000000000000000000000000000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: readout_control_data_from_ROD_to_hub1 ---------------------------------------------------------------------- Dest: HUB_SLOT1.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00111110110001111000011100011001 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: readout_control_data_from_ROD_to_hub2 ---------------------------------------------------------------------- Dest: HUB_SLOT2.GT_ch3 common_loopback.loopback : 0b000 tx_setup.txprbssel : 0b0101 tx_setup.swing : 0b01100 tx_setup.pre-emphasis : 0b00000 tx_setup.pre_emphasis : 0b00000 tx_setup.post-emphasis : 0b00000 tx_setup.post_emphasis : 0b00000 tx_reset.reset_tx_pll_and_datapath : 0b0 tx_reset.reset_tx_datapath : 0b0 tx_force_err.txprbsforceerr : 0b0 rx_setup.rxprbssel : 0b0101 rx_setup.dfe_on_off : 0b0 rx_setup.DFE on/off : 0b0 rx_reset.reset_rx_pll_and_datapath : 0b0 rx_reset.reset_rx_datapath : 0b0 rx_reset.rxprbscntreset : 0b0 common_reset.reset_master : 0b0 eye_control.eye_drpaddrreg : 0b000000000 eye_control.eye_drpwecmd : 0b0 eye_control.eye_drpencmd : 0b0 eye_control.eye_sofrs : 0b0 eye_control.eye_go : 0b0 eye_control.eye_rstrtl : 0b0 eye_control.eye_drpdireg : 0b0000000000000000 common_status.init_done : 0b1 common_status.gtpowergood : 0b1 common_status.init_retry_ctr : 0b0 common_status.mgt_cdr_locked : 0b0 tx_status.txpmaresetdone : 0b1 tx_status.reset_tx_done : 0b1 tx_status.buffbypass_tx_done : 0b1 tx_status.buffbypass_tx_error : 0b0 rx_status.rxpmaresetdone : 0b1 rx_status.reset_rx_done : 0b1 rx_status.buffbypass_rx_done : 0b1 rx_status.buffbypass_rx_error : 0b0 rx_status.rxprbserr_flg : 0b1 rx_status.rxprbslocked : 0b0 rx_count_err.rxprbserr_cnt : 0b00111110110101000010001101011000 rx_count_tot.rx_count_tot : 0b00000000000000000000000000000000 eye_status.eye_drprdy : 0b0 eye_status.eye_drpdo : 0b0000000000000000 [Aug 11 15:48:12 2020]: ====================================================================== | Printing CSR Registers | ====================================================================== ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_0_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_1_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_0_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_readout_AL_1_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_0_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_1_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_0_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_readout_AL_1_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_03 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_04 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:12 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_05 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_06 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_07 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_08 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_09 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_10 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_11 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_12 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_13 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_FEX_14 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_hub2 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_combined_data_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:13 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_03 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_04 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_05 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_06 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_07 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_08 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_09 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_10 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_11 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_12 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_13 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_FEX_14 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_hub1 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:14 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_combined_data_to_ROD ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm3_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:15 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:16 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm5_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM18.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:17 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm6_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM15.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001111 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:18 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm7_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM04.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000111 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:19 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm8_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM12.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001100 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001000 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:20 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm9_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM03.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000011 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001001 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:21 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm10_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM13.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001101 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001010 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:22 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm11_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM07.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001010 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:23 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm12_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM06.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000110 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01001100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:24 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm13_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM09.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001101 address.hub_slot : 0b01 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_0_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_1_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_2_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:25 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_3_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_4_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_5_to_hub1 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_0_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_1_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_2_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_3_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_4_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm14_FEX_data_lane_5_to_hub2 ---------------------------------------------------------------------- Source: HTM08.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00001000 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11001110 address.hub_slot : 0b10 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b1 status.tx_mpod_int : 0b1 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch0_to_htm3_rx_minipod_ch11 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch1_to_htm3_rx_minipod_ch10 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch2_to_htm3_rx_minipod_ch9 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch4_to_htm3_rx_minipod_ch7 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:26 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch6_to_htm3_rx_minipod_ch5 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch8_to_htm3_rx_minipod_ch3 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch10_to_htm3_rx_minipod_ch1 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub1_tx_minipod_ch11_to_htm3_rx_minipod_ch0 ---------------------------------------------------------------------- Source: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM01.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00000001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b01000011 address.hub_slot : 0b11 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch0_to_htm4_rx_minipod_ch11 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch1_to_htm4_rx_minipod_ch10 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch2_to_htm4_rx_minipod_ch9 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch4_to_htm4_rx_minipod_ch7 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch6_to_htm4_rx_minipod_ch5 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch8_to_htm4_rx_minipod_ch3 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch10_to_htm4_rx_minipod_ch1 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:27 2020]: ---------------------------------------------------------------------- Displaying registers for link: hub2_tx_minipod_ch11_to_htm4_rx_minipod_ch0 ---------------------------------------------------------------------- Source: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 Dest: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch2_to_hub2_rx_minipod_ch6 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch0_to_hub2_rx_minipod_ch2 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch1_to_hub2_rx_minipod_ch4 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: htm4_tx_minipod_ch3_to_hub2_rx_minipod_ch8 ---------------------------------------------------------------------- Source: HTM17.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00000001 module.fw_version : 0b00000010 module.ser_number : 0b00010001 module.module_type : 0b00000010 address.addr_to_rod : 0b00000000 address.slot_addr : 0b11000100 address.hub_slot : 0b00 address.shelf_addr : 0b00000000 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b0 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b0 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b0 status.pll40_lock : 0b1 Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: readout_control_data_from_ROD_to_hub1 ---------------------------------------------------------------------- Dest: HUB_SLOT1.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b0 control.i2c_1502_dis : 0b0 control.i2c_1501_dis : 0b0 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00001011 module.module_type : 0b00000001 address.addr_to_rod : 0b11000001 address.slot_addr : 0b11000001 address.hub_slot : 0b01 address.shelf_addr : 0b11111111 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b1 status.rod_config : 0b1 status.rod_power : 0b0 status.rod_smb_alert : 0b0 status.rod_present : 0b0 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ---------------------------------------------------------------------- Displaying registers for link: readout_control_data_from_ROD_to_hub2 ---------------------------------------------------------------------- Dest: HUB_SLOT2.csr control.clock_select : 0b00 control.rx_mpod_rst : 0b0 control.tx_mpod_rst : 0b0 control.mgt_rst_glbl : 0b0 control.rod_pwr_en : 0b0 control.i2c_1503_dis : 0b1 control.i2c_1502_dis : 0b1 control.i2c_1501_dis : 0b1 control.mgt_equ_en : 0b0000000000000 control.fex_clock_en : 0b0 control.clock_control : 0b0 lock.watchdog_bit : 0b0 lock.lock_bit : 0b0 lock.access_key : 0b000000000000000000000000000000 leds.led52_LED8 : 0b0 leds.led51_LED7 : 0b0 leds.led50_LED6 : 0b0 leds.LED5 : 0b0 leds.LED4 : 0b0 leds.LED3 : 0b0 leds.LED2 : 0b0 leds.LED1 : 0b0 mgt_select.mgtchselect : 0b00000000 module.fw_revision : 0b00001001 module.fw_version : 0b00000001 module.ser_number : 0b00000101 module.module_type : 0b00000001 address.addr_to_rod : 0b11000010 address.slot_addr : 0b11000010 address.hub_slot : 0b10 address.shelf_addr : 0b11110011 status.swc_loop_det : 0b0 status.swb_loop_det : 0b0 status.swa_loop_det : 0b0 status.rod_spare : 0b0 status.rod_config : 0b1 status.rod_power : 0b1 status.rod_smb_alert : 0b1 status.rod_present : 0b1 status.hub_pwr_good : 0b1 status.hub_smb_alert : 0b0 status.rx_mpod_int : 0b0 status.tx_mpod_int : 0b0 status.phy2_int : 0b0 status.phy1_int : 0b0 status.pll320_lock : 0b1 status.pll40_lock : 0b1 [Aug 11 15:48:28 2020]: ====================================================================== | Inject Errors | ====================================================================== [Aug 11 15:48:30 2020]: Raising force error bits ... [Aug 11 15:48:32 2020]: Lowering force error bits ... [Aug 11 15:48:32 2020]: Error Injection Finished [Aug 11 15:48:32 2020]: ====================================================================== | Error Report | ====================================================================== List of Channels with Errors: Link: Hub1 Readout Aurora Lane 0 to Hub2 | Error Count: 167361742 Link: Hub1 Readout Aurora Lane 1 to Hub2 | Error Count: 167182034 Link: Hub2 Readout Aurora Lane 0 to Hub1 | Error Count: 166588346 Link: Hub2 Readout Aurora Lane 1 to Hub1 | Error Count: 166397618 Link: Hub1 Combined Data to FEX 03 | Error Count: 28570812 Link: Hub1 Combined Data to FEX 04 | Error Count: 28579688 Link: Hub1 Combined Data to FEX 05 | Error Count: 28581304 Link: Hub1 Combined Data to FEX 06 | Error Count: 28578828 Link: Hub1 Combined Data to FEX 07 | Error Count: 28579046 Link: Hub1 Combined Data to FEX 08 | Error Count: 28582378 Link: Hub1 Combined Data to FEX 09 | Error Count: 28575950 Link: Hub1 Combined Data to FEX 10 | Error Count: 28573170 Link: Hub1 Combined Data to FEX 11 | Error Count: 28580455 Link: Hub1 Combined Data to FEX 12 | Error Count: 28592896 Link: Hub1 Combined Data to FEX 13 | Error Count: 28591639 Link: Hub1 Combined Data to FEX 14 | Error Count: 28603530 Link: Hub1 Combined Data to Hub2 | Error Count: 163477726 Link: Hub2 Combined Data to FEX 03 | Error Count: 28616598 Link: Hub2 Combined Data to FEX 04 | Error Count: 28616029 Link: Hub2 Combined Data to FEX 05 | Error Count: 28616537 Link: Hub2 Combined Data to FEX 06 | Error Count: 28613182 Link: Hub2 Combined Data to FEX 07 | Error Count: 28613114 Link: Hub2 Combined Data to FEX 08 | Error Count: 28616603 Link: Hub2 Combined Data to FEX 09 | Error Count: 28626950 Link: Hub2 Combined Data to FEX 10 | Error Count: 28630786 Link: Hub2 Combined Data to FEX 11 | Error Count: 28631595 Link: Hub2 Combined Data to FEX 12 | Error Count: 28636877 Link: Hub2 Combined Data to FEX 13 | Error Count: 28631822 Link: Hub2 Combined Data to FEX 14 | Error Count: 28635062 Link: Hub2 Combined Data to Hub1 | Error Count: 733061322 Link: HTM3 FEX Data Lane 0 to Hub1 | Error Count: 63600659 Link: HTM3 FEX Data Lane 1 to Hub1 | Error Count: 63563563 Link: HTM3 FEX Data Lane 2 to Hub1 | Error Count: 63542273 Link: HTM3 FEX Data Lane 3 to Hub1 | Error Count: 63511185 Link: HTM3 FEX Data Lane 4 to Hub1 | Error Count: 63505803 Link: HTM3 FEX Data Lane 5 to Hub1 | Error Count: 63513524 Link: HTM3 FEX Data Lane 0 to Hub2 | Error Count: 63561608 Link: HTM3 FEX Data Lane 1 to Hub2 | Error Count: 63596878 Link: HTM3 FEX Data Lane 2 to Hub2 | Error Count: 63625278 Link: HTM3 FEX Data Lane 3 to Hub2 | Error Count: 63633065 Link: HTM3 FEX Data Lane 4 to Hub2 | Error Count: 63685685 Link: HTM3 FEX Data Lane 5 to Hub2 | Error Count: 63678154 Link: HTM4 FEX Data Lane 0 to Hub1 | Error Count: 63652139 Link: HTM4 FEX Data Lane 1 to Hub1 | Error Count: 63617193 Link: HTM4 FEX Data Lane 2 to Hub1 | Error Count: 63599925 Link: HTM4 FEX Data Lane 3 to Hub1 | Error Count: 63571658 Link: HTM4 FEX Data Lane 4 to Hub1 | Error Count: 63549886 Link: HTM4 FEX Data Lane 5 to Hub1 | Error Count: 63540590 Link: HTM4 FEX Data Lane 0 to Hub2 | Error Count: 63552759 Link: HTM4 FEX Data Lane 1 to Hub2 | Error Count: 63577075 Link: HTM4 FEX Data Lane 2 to Hub2 | Error Count: 63597478 Link: HTM4 FEX Data Lane 3 to Hub2 | Error Count: 63624134 Link: HTM4 FEX Data Lane 4 to Hub2 | Error Count: 63654207 Link: HTM4 FEX Data Lane 5 to Hub2 | Error Count: 63690174 Link: HTM5 FEX Data Lane 0 to Hub1 | Error Count: 63689007 Link: HTM5 FEX Data Lane 1 to Hub1 | Error Count: 63715089 Link: HTM5 FEX Data Lane 2 to Hub1 | Error Count: 63745321 Link: HTM5 FEX Data Lane 3 to Hub1 | Error Count: 63755812 Link: HTM5 FEX Data Lane 4 to Hub1 | Error Count: 63780739 Link: HTM5 FEX Data Lane 5 to Hub1 | Error Count: 63770104 Link: HTM5 FEX Data Lane 0 to Hub2 | Error Count: 63736969 Link: HTM5 FEX Data Lane 1 to Hub2 | Error Count: 63721599 Link: HTM5 FEX Data Lane 2 to Hub2 | Error Count: 63674063 Link: HTM5 FEX Data Lane 3 to Hub2 | Error Count: 63661582 Link: HTM5 FEX Data Lane 4 to Hub2 | Error Count: 63683246 Link: HTM5 FEX Data Lane 5 to Hub2 | Error Count: 63689510 Link: HTM6 FEX Data Lane 0 to Hub1 | Error Count: 63696964 Link: HTM6 FEX Data Lane 1 to Hub1 | Error Count: 63704773 Link: HTM6 FEX Data Lane 2 to Hub1 | Error Count: 63694810 Link: HTM6 FEX Data Lane 3 to Hub1 | Error Count: 63676711 Link: HTM6 FEX Data Lane 4 to Hub1 | Error Count: 63658986 Link: HTM6 FEX Data Lane 5 to Hub1 | Error Count: 63667959 Link: HTM6 FEX Data Lane 0 to Hub2 | Error Count: 63664824 Link: HTM6 FEX Data Lane 1 to Hub2 | Error Count: 63669169 Link: HTM6 FEX Data Lane 2 to Hub2 | Error Count: 63634254 Link: HTM6 FEX Data Lane 3 to Hub2 | Error Count: 63615842 Link: HTM6 FEX Data Lane 4 to Hub2 | Error Count: 63591089 Link: HTM6 FEX Data Lane 5 to Hub2 | Error Count: 63565767 Link: HTM7 FEX Data Lane 0 to Hub1 | Error Count: 63587181 Link: HTM7 FEX Data Lane 1 to Hub1 | Error Count: 63604064 Link: HTM7 FEX Data Lane 2 to Hub1 | Error Count: 63613211 Link: HTM7 FEX Data Lane 3 to Hub1 | Error Count: 63610395 Link: HTM7 FEX Data Lane 4 to Hub1 | Error Count: 63602772 Link: HTM7 FEX Data Lane 5 to Hub1 | Error Count: 63611303 Link: HTM7 FEX Data Lane 0 to Hub2 | Error Count: 63624231 Link: HTM7 FEX Data Lane 1 to Hub2 | Error Count: 63610210 Link: HTM7 FEX Data Lane 2 to Hub2 | Error Count: 63595841 Link: HTM7 FEX Data Lane 3 to Hub2 | Error Count: 63587545 Link: HTM7 FEX Data Lane 4 to Hub2 | Error Count: 63568661 Link: HTM7 FEX Data Lane 5 to Hub2 | Error Count: 63553682 Link: HTM8 FEX Data Lane 0 to Hub1 | Error Count: 63561306 Link: HTM8 FEX Data Lane 1 to Hub1 | Error Count: 63534800 Link: HTM8 FEX Data Lane 2 to Hub1 | Error Count: 63546034 Link: HTM8 FEX Data Lane 3 to Hub1 | Error Count: 63589994 Link: HTM8 FEX Data Lane 4 to Hub1 | Error Count: 63632517 Link: HTM8 FEX Data Lane 5 to Hub1 | Error Count: 63641987 Link: HTM8 FEX Data Lane 0 to Hub2 | Error Count: 63674496 Link: HTM8 FEX Data Lane 1 to Hub2 | Error Count: 63684812 Link: HTM8 FEX Data Lane 2 to Hub2 | Error Count: 63687624 Link: HTM8 FEX Data Lane 3 to Hub2 | Error Count: 63693887 Link: HTM8 FEX Data Lane 4 to Hub2 | Error Count: 63678292 Link: HTM8 FEX Data Lane 5 to Hub2 | Error Count: 63665765 Link: HTM9 FEX Data Lane 0 to Hub1 | Error Count: 63654358 Link: HTM9 FEX Data Lane 1 to Hub1 | Error Count: 63636218 Link: HTM9 FEX Data Lane 2 to Hub1 | Error Count: 63625818 Link: HTM9 FEX Data Lane 3 to Hub1 | Error Count: 63621884 Link: HTM9 FEX Data Lane 4 to Hub1 | Error Count: 63638562 Link: HTM9 FEX Data Lane 5 to Hub1 | Error Count: 63659231 Link: HTM9 FEX Data Lane 0 to Hub2 | Error Count: 63660288 Link: HTM9 FEX Data Lane 1 to Hub2 | Error Count: 63664176 Link: HTM9 FEX Data Lane 2 to Hub2 | Error Count: 63627409 Link: HTM9 FEX Data Lane 3 to Hub2 | Error Count: 63626101 Link: HTM9 FEX Data Lane 4 to Hub2 | Error Count: 63620232 Link: HTM9 FEX Data Lane 5 to Hub2 | Error Count: 63601974 Link: HTM10 FEX Data Lane 0 to Hub1 | Error Count: 63604317 Link: HTM10 FEX Data Lane 1 to Hub1 | Error Count: 63605215 Link: HTM10 FEX Data Lane 2 to Hub1 | Error Count: 63597587 Link: HTM10 FEX Data Lane 3 to Hub1 | Error Count: 63613495 Link: HTM10 FEX Data Lane 4 to Hub1 | Error Count: 63618322 Link: HTM10 FEX Data Lane 5 to Hub1 | Error Count: 63601367 Link: HTM10 FEX Data Lane 0 to Hub2 | Error Count: 63608108 Link: HTM10 FEX Data Lane 1 to Hub2 | Error Count: 63640505 Link: HTM10 FEX Data Lane 2 to Hub2 | Error Count: 63676662 Link: HTM10 FEX Data Lane 3 to Hub2 | Error Count: 63691677 Link: HTM10 FEX Data Lane 4 to Hub2 | Error Count: 63691257 Link: HTM10 FEX Data Lane 5 to Hub2 | Error Count: 63679093 Link: HTM11 FEX Data Lane 0 to Hub1 | Error Count: 63676137 Link: HTM11 FEX Data Lane 1 to Hub1 | Error Count: 63685746 Link: HTM11 FEX Data Lane 2 to Hub1 | Error Count: 63676722 Link: HTM11 FEX Data Lane 3 to Hub1 | Error Count: 63653016 Link: HTM11 FEX Data Lane 4 to Hub1 | Error Count: 63651492 Link: HTM11 FEX Data Lane 5 to Hub1 | Error Count: 63632495 Link: HTM11 FEX Data Lane 0 to Hub2 | Error Count: 63638636 Link: HTM11 FEX Data Lane 1 to Hub2 | Error Count: 63615725 Link: HTM11 FEX Data Lane 2 to Hub2 | Error Count: 63614981 Link: HTM11 FEX Data Lane 3 to Hub2 | Error Count: 63612370 Link: HTM11 FEX Data Lane 4 to Hub2 | Error Count: 63608902 Link: HTM11 FEX Data Lane 5 to Hub2 | Error Count: 63599494 Link: HTM12 FEX Data Lane 0 to Hub1 | Error Count: 63603623 Link: HTM12 FEX Data Lane 1 to Hub1 | Error Count: 63635183 Link: HTM12 FEX Data Lane 2 to Hub1 | Error Count: 63638066 Link: HTM12 FEX Data Lane 3 to Hub1 | Error Count: 63637512 Link: HTM12 FEX Data Lane 4 to Hub1 | Error Count: 63628021 Link: HTM12 FEX Data Lane 5 to Hub1 | Error Count: 63643622 Link: HTM12 FEX Data Lane 0 to Hub2 | Error Count: 63615612 Link: HTM12 FEX Data Lane 1 to Hub2 | Error Count: 63596456 Link: HTM12 FEX Data Lane 2 to Hub2 | Error Count: 63562028 Link: HTM12 FEX Data Lane 3 to Hub2 | Error Count: 63536782 Link: HTM12 FEX Data Lane 4 to Hub2 | Error Count: 63543488 Link: HTM12 FEX Data Lane 5 to Hub2 | Error Count: 63560196 Link: HTM13 FEX Data Lane 0 to Hub1 | Error Count: 63617686 Link: HTM13 FEX Data Lane 1 to Hub1 | Error Count: 63689404 Link: HTM13 FEX Data Lane 2 to Hub1 | Error Count: 63718015 Link: HTM13 FEX Data Lane 3 to Hub1 | Error Count: 63727880 Link: HTM13 FEX Data Lane 4 to Hub1 | Error Count: 63721790 Link: HTM13 FEX Data Lane 5 to Hub1 | Error Count: 63711987 Link: HTM13 FEX Data Lane 0 to Hub2 | Error Count: 63711658 Link: HTM13 FEX Data Lane 1 to Hub2 | Error Count: 63707575 Link: HTM13 FEX Data Lane 2 to Hub2 | Error Count: 63709273 Link: HTM13 FEX Data Lane 3 to Hub2 | Error Count: 63728100 Link: HTM13 FEX Data Lane 4 to Hub2 | Error Count: 63726161 Link: HTM13 FEX Data Lane 5 to Hub2 | Error Count: 63691251 Link: HTM14 FEX Data Lane 0 to Hub1 | Error Count: 63656974 Link: HTM14 FEX Data Lane 1 to Hub1 | Error Count: 63646575 Link: HTM14 FEX Data Lane 2 to Hub1 | Error Count: 63636013 Link: HTM14 FEX Data Lane 3 to Hub1 | Error Count: 63630411 Link: HTM14 FEX Data Lane 4 to Hub1 | Error Count: 63646380 Link: HTM14 FEX Data Lane 5 to Hub1 | Error Count: 63643636 Link: HTM14 FEX Data Lane 0 to Hub2 | Error Count: 63643872 Link: HTM14 FEX Data Lane 1 to Hub2 | Error Count: 63641409 Link: HTM14 FEX Data Lane 2 to Hub2 | Error Count: 63642538 Link: HTM14 FEX Data Lane 3 to Hub2 | Error Count: 63634915 Link: HTM14 FEX Data Lane 4 to Hub2 | Error Count: 63636018 Link: HTM14 FEX Data Lane 5 to Hub2 | Error Count: 63641486 Link: Hub1 TX MiniPOD Channel 0 to HTM3 RX MiniPOD Channel 11 | Error Count: 28616775 Link: Hub1 TX MiniPOD Channel 1 to HTM3 RX MiniPOD Channel 10 | Error Count: 28604328 Link: Hub1 TX MiniPOD Channel 2 to HTM3 RX MiniPOD Channel 9 | Error Count: 28596357 Link: Hub1 TX MiniPOD Channel 4 to HTM3 RX MiniPOD Channel 7 | Error Count: 28596144 Link: Hub1 TX MiniPOD Channel 8 to HTM3 RX MiniPOD Channel 3 | Error Count: 28606390 Link: Hub1 TX MiniPOD Channel 10 to HTM3 RX MiniPOD Channel 1 | Error Count: 28616488 Link: Hub1 TX MiniPOD Channel 11 to HTM3 RX MiniPOD Channel 0 | Error Count: 2616013862 Link: Hub2 TX MiniPOD Channel 0 to HTM4 RX MiniPOD Channel 11 | Error Count: 28615941 Link: Hub2 TX MiniPOD Channel 1 to HTM4 RX MiniPOD Channel 10 | Error Count: 28621144 Link: Hub2 TX MiniPOD Channel 2 to HTM4 RX MiniPOD Channel 9 | Error Count: 28627418 Link: Hub2 TX MiniPOD Channel 4 to HTM4 RX MiniPOD Channel 7 | Error Count: 28635044 Link: Hub2 TX MiniPOD Channel 6 to HTM4 RX MiniPOD Channel 5 | Error Count: 28637244 Link: Hub2 TX MiniPOD Channel 8 to HTM4 RX MiniPOD Channel 3 | Error Count: 28641867 Link: Hub2 TX MiniPOD Channel 10 to HTM4 RX MiniPOD Channel 1 | Error Count: 28641377 Link: Hub2 TX MiniPOD Channel 11 to HTM4 RX MiniPOD Channel 0 | Error Count: 2615841122 Link: HTM4 TX MiniPOD Channel 0 to Hub2 RX MiniPOD Channel 2 | Error Count: 63725658 Link: HTM4 TX MiniPOD Channel 1 to Hub2 RX MiniPOD Channel 4 | Error Count: 63703178 Link: HTM4 TX MiniPOD Channel 3 to Hub2 RX MiniPOD Channel 8 | Error Count: 63704543 Link: Readout Control Data from ROD to Hub1 | Error Count: 799308375 Link: Readout Control Data from ROD to Hub2 | Error Count: 799231594 [Aug 11 15:48:32 2020]: Error Report Finished [Aug 11 15:48:37 2020]: ====================================================================== | Error Report | ====================================================================== List of Channels with Errors: Link: Hub1 Readout Aurora Lane 0 to Hub2 | Error Count: 372886166 Link: Hub1 Readout Aurora Lane 1 to Hub2 | Error Count: 372744667 Link: Hub2 Readout Aurora Lane 0 to Hub1 | Error Count: 372183417 Link: Hub2 Readout Aurora Lane 1 to Hub1 | Error Count: 372025116 Link: Hub1 Combined Data to FEX 03 | Error Count: 28570812 Link: Hub1 Combined Data to FEX 04 | Error Count: 28579688 Link: Hub1 Combined Data to FEX 05 | Error Count: 28581304 Link: Hub1 Combined Data to FEX 06 | Error Count: 28578828 Link: Hub1 Combined Data to FEX 07 | Error Count: 28579046 Link: Hub1 Combined Data to FEX 08 | Error Count: 28582378 Link: Hub1 Combined Data to FEX 09 | Error Count: 28575950 Link: Hub1 Combined Data to FEX 10 | Error Count: 28573170 Link: Hub1 Combined Data to FEX 11 | Error Count: 28580455 Link: Hub1 Combined Data to FEX 12 | Error Count: 28592896 Link: Hub1 Combined Data to FEX 13 | Error Count: 28591639 Link: Hub1 Combined Data to FEX 14 | Error Count: 28603530 Link: Hub1 Combined Data to Hub2 | Error Count: 369176009 Link: Hub2 Combined Data to FEX 03 | Error Count: 28616598 Link: Hub2 Combined Data to FEX 04 | Error Count: 28616029 Link: Hub2 Combined Data to FEX 05 | Error Count: 28616537 Link: Hub2 Combined Data to FEX 06 | Error Count: 28613182 Link: Hub2 Combined Data to FEX 07 | Error Count: 28613114 Link: Hub2 Combined Data to FEX 08 | Error Count: 28616603 Link: Hub2 Combined Data to FEX 09 | Error Count: 28626950 Link: Hub2 Combined Data to FEX 10 | Error Count: 28630786 Link: Hub2 Combined Data to FEX 11 | Error Count: 28631595 Link: Hub2 Combined Data to FEX 12 | Error Count: 28636877 Link: Hub2 Combined Data to FEX 13 | Error Count: 28631822 Link: Hub2 Combined Data to FEX 14 | Error Count: 28635062 Link: Hub2 Combined Data to Hub1 | Error Count: 937230189 Link: HTM3 FEX Data Lane 0 to Hub1 | Error Count: 63600659 Link: HTM3 FEX Data Lane 1 to Hub1 | Error Count: 63563563 Link: HTM3 FEX Data Lane 2 to Hub1 | Error Count: 63542273 Link: HTM3 FEX Data Lane 3 to Hub1 | Error Count: 63511185 Link: HTM3 FEX Data Lane 4 to Hub1 | Error Count: 63505803 Link: HTM3 FEX Data Lane 5 to Hub1 | Error Count: 63513524 Link: HTM3 FEX Data Lane 0 to Hub2 | Error Count: 63561608 Link: HTM3 FEX Data Lane 1 to Hub2 | Error Count: 63596878 Link: HTM3 FEX Data Lane 2 to Hub2 | Error Count: 63625278 Link: HTM3 FEX Data Lane 3 to Hub2 | Error Count: 63633065 Link: HTM3 FEX Data Lane 4 to Hub2 | Error Count: 63685685 Link: HTM3 FEX Data Lane 5 to Hub2 | Error Count: 63678154 Link: HTM4 FEX Data Lane 0 to Hub1 | Error Count: 63652139 Link: HTM4 FEX Data Lane 1 to Hub1 | Error Count: 63617193 Link: HTM4 FEX Data Lane 2 to Hub1 | Error Count: 63599925 Link: HTM4 FEX Data Lane 3 to Hub1 | Error Count: 63571658 Link: HTM4 FEX Data Lane 4 to Hub1 | Error Count: 63549886 Link: HTM4 FEX Data Lane 5 to Hub1 | Error Count: 63540590 Link: HTM4 FEX Data Lane 0 to Hub2 | Error Count: 63552759 Link: HTM4 FEX Data Lane 1 to Hub2 | Error Count: 63577075 Link: HTM4 FEX Data Lane 2 to Hub2 | Error Count: 63597478 Link: HTM4 FEX Data Lane 3 to Hub2 | Error Count: 63624134 Link: HTM4 FEX Data Lane 4 to Hub2 | Error Count: 63654207 Link: HTM4 FEX Data Lane 5 to Hub2 | Error Count: 63690174 Link: HTM5 FEX Data Lane 0 to Hub1 | Error Count: 63689007 Link: HTM5 FEX Data Lane 1 to Hub1 | Error Count: 63715089 Link: HTM5 FEX Data Lane 2 to Hub1 | Error Count: 63745321 Link: HTM5 FEX Data Lane 3 to Hub1 | Error Count: 63755812 Link: HTM5 FEX Data Lane 4 to Hub1 | Error Count: 63780739 Link: HTM5 FEX Data Lane 5 to Hub1 | Error Count: 63770104 Link: HTM5 FEX Data Lane 0 to Hub2 | Error Count: 63736969 Link: HTM5 FEX Data Lane 1 to Hub2 | Error Count: 63721599 Link: HTM5 FEX Data Lane 2 to Hub2 | Error Count: 63674063 Link: HTM5 FEX Data Lane 3 to Hub2 | Error Count: 63661582 Link: HTM5 FEX Data Lane 4 to Hub2 | Error Count: 63683246 Link: HTM5 FEX Data Lane 5 to Hub2 | Error Count: 63689510 Link: HTM6 FEX Data Lane 0 to Hub1 | Error Count: 63696964 Link: HTM6 FEX Data Lane 1 to Hub1 | Error Count: 63704773 Link: HTM6 FEX Data Lane 2 to Hub1 | Error Count: 63694810 Link: HTM6 FEX Data Lane 3 to Hub1 | Error Count: 63676711 Link: HTM6 FEX Data Lane 4 to Hub1 | Error Count: 63658986 Link: HTM6 FEX Data Lane 5 to Hub1 | Error Count: 63667959 Link: HTM6 FEX Data Lane 0 to Hub2 | Error Count: 63664824 Link: HTM6 FEX Data Lane 1 to Hub2 | Error Count: 63669169 Link: HTM6 FEX Data Lane 2 to Hub2 | Error Count: 63634254 Link: HTM6 FEX Data Lane 3 to Hub2 | Error Count: 63615842 Link: HTM6 FEX Data Lane 4 to Hub2 | Error Count: 63591089 Link: HTM6 FEX Data Lane 5 to Hub2 | Error Count: 63565767 Link: HTM7 FEX Data Lane 0 to Hub1 | Error Count: 63587181 Link: HTM7 FEX Data Lane 1 to Hub1 | Error Count: 63604064 Link: HTM7 FEX Data Lane 2 to Hub1 | Error Count: 63613211 Link: HTM7 FEX Data Lane 3 to Hub1 | Error Count: 63610395 Link: HTM7 FEX Data Lane 4 to Hub1 | Error Count: 63602772 Link: HTM7 FEX Data Lane 5 to Hub1 | Error Count: 63611303 Link: HTM7 FEX Data Lane 0 to Hub2 | Error Count: 63624231 Link: HTM7 FEX Data Lane 1 to Hub2 | Error Count: 63610210 Link: HTM7 FEX Data Lane 2 to Hub2 | Error Count: 63595841 Link: HTM7 FEX Data Lane 3 to Hub2 | Error Count: 63587545 Link: HTM7 FEX Data Lane 4 to Hub2 | Error Count: 63568661 Link: HTM7 FEX Data Lane 5 to Hub2 | Error Count: 63553682 Link: HTM8 FEX Data Lane 0 to Hub1 | Error Count: 63561306 Link: HTM8 FEX Data Lane 1 to Hub1 | Error Count: 63534800 Link: HTM8 FEX Data Lane 2 to Hub1 | Error Count: 63546034 Link: HTM8 FEX Data Lane 3 to Hub1 | Error Count: 63589994 Link: HTM8 FEX Data Lane 4 to Hub1 | Error Count: 63632517 Link: HTM8 FEX Data Lane 5 to Hub1 | Error Count: 63641987 Link: HTM8 FEX Data Lane 0 to Hub2 | Error Count: 63674496 Link: HTM8 FEX Data Lane 1 to Hub2 | Error Count: 63684812 Link: HTM8 FEX Data Lane 2 to Hub2 | Error Count: 63687624 Link: HTM8 FEX Data Lane 3 to Hub2 | Error Count: 63693887 Link: HTM8 FEX Data Lane 4 to Hub2 | Error Count: 63678292 Link: HTM8 FEX Data Lane 5 to Hub2 | Error Count: 63665765 Link: HTM9 FEX Data Lane 0 to Hub1 | Error Count: 63654358 Link: HTM9 FEX Data Lane 1 to Hub1 | Error Count: 63636218 Link: HTM9 FEX Data Lane 2 to Hub1 | Error Count: 63625818 Link: HTM9 FEX Data Lane 3 to Hub1 | Error Count: 63621884 Link: HTM9 FEX Data Lane 4 to Hub1 | Error Count: 63638562 Link: HTM9 FEX Data Lane 5 to Hub1 | Error Count: 63659231 Link: HTM9 FEX Data Lane 0 to Hub2 | Error Count: 63660288 Link: HTM9 FEX Data Lane 1 to Hub2 | Error Count: 63664176 Link: HTM9 FEX Data Lane 2 to Hub2 | Error Count: 63627409 Link: HTM9 FEX Data Lane 3 to Hub2 | Error Count: 63626101 Link: HTM9 FEX Data Lane 4 to Hub2 | Error Count: 63620232 Link: HTM9 FEX Data Lane 5 to Hub2 | Error Count: 63601974 Link: HTM10 FEX Data Lane 0 to Hub1 | Error Count: 63604317 Link: HTM10 FEX Data Lane 1 to Hub1 | Error Count: 63605215 Link: HTM10 FEX Data Lane 2 to Hub1 | Error Count: 63597587 Link: HTM10 FEX Data Lane 3 to Hub1 | Error Count: 63613495 Link: HTM10 FEX Data Lane 4 to Hub1 | Error Count: 63618322 Link: HTM10 FEX Data Lane 5 to Hub1 | Error Count: 63601367 Link: HTM10 FEX Data Lane 0 to Hub2 | Error Count: 63608108 Link: HTM10 FEX Data Lane 1 to Hub2 | Error Count: 63640505 Link: HTM10 FEX Data Lane 2 to Hub2 | Error Count: 63676662 Link: HTM10 FEX Data Lane 3 to Hub2 | Error Count: 63691677 Link: HTM10 FEX Data Lane 4 to Hub2 | Error Count: 63691257 Link: HTM10 FEX Data Lane 5 to Hub2 | Error Count: 63679093 Link: HTM11 FEX Data Lane 0 to Hub1 | Error Count: 63676137 Link: HTM11 FEX Data Lane 1 to Hub1 | Error Count: 63685746 Link: HTM11 FEX Data Lane 2 to Hub1 | Error Count: 63676722 Link: HTM11 FEX Data Lane 3 to Hub1 | Error Count: 63653016 Link: HTM11 FEX Data Lane 4 to Hub1 | Error Count: 63651492 Link: HTM11 FEX Data Lane 5 to Hub1 | Error Count: 63632495 Link: HTM11 FEX Data Lane 0 to Hub2 | Error Count: 63638636 Link: HTM11 FEX Data Lane 1 to Hub2 | Error Count: 63615725 Link: HTM11 FEX Data Lane 2 to Hub2 | Error Count: 63614981 Link: HTM11 FEX Data Lane 3 to Hub2 | Error Count: 63612370 Link: HTM11 FEX Data Lane 4 to Hub2 | Error Count: 63608902 Link: HTM11 FEX Data Lane 5 to Hub2 | Error Count: 63599494 Link: HTM12 FEX Data Lane 0 to Hub1 | Error Count: 63603623 Link: HTM12 FEX Data Lane 1 to Hub1 | Error Count: 63635183 Link: HTM12 FEX Data Lane 2 to Hub1 | Error Count: 63638066 Link: HTM12 FEX Data Lane 3 to Hub1 | Error Count: 63637512 Link: HTM12 FEX Data Lane 4 to Hub1 | Error Count: 63628021 Link: HTM12 FEX Data Lane 5 to Hub1 | Error Count: 63643622 Link: HTM12 FEX Data Lane 0 to Hub2 | Error Count: 63615612 Link: HTM12 FEX Data Lane 1 to Hub2 | Error Count: 63596456 Link: HTM12 FEX Data Lane 2 to Hub2 | Error Count: 63562028 Link: HTM12 FEX Data Lane 3 to Hub2 | Error Count: 63536782 Link: HTM12 FEX Data Lane 4 to Hub2 | Error Count: 63543488 Link: HTM12 FEX Data Lane 5 to Hub2 | Error Count: 63560196 Link: HTM13 FEX Data Lane 0 to Hub1 | Error Count: 63617686 Link: HTM13 FEX Data Lane 1 to Hub1 | Error Count: 63689404 Link: HTM13 FEX Data Lane 2 to Hub1 | Error Count: 63718015 Link: HTM13 FEX Data Lane 3 to Hub1 | Error Count: 63727880 Link: HTM13 FEX Data Lane 4 to Hub1 | Error Count: 63721790 Link: HTM13 FEX Data Lane 5 to Hub1 | Error Count: 63711987 Link: HTM13 FEX Data Lane 0 to Hub2 | Error Count: 63711658 Link: HTM13 FEX Data Lane 1 to Hub2 | Error Count: 63707575 Link: HTM13 FEX Data Lane 2 to Hub2 | Error Count: 63709273 Link: HTM13 FEX Data Lane 3 to Hub2 | Error Count: 63728100 Link: HTM13 FEX Data Lane 4 to Hub2 | Error Count: 63726161 Link: HTM13 FEX Data Lane 5 to Hub2 | Error Count: 63691251 Link: HTM14 FEX Data Lane 0 to Hub1 | Error Count: 63656974 Link: HTM14 FEX Data Lane 1 to Hub1 | Error Count: 63646575 Link: HTM14 FEX Data Lane 2 to Hub1 | Error Count: 63636013 Link: HTM14 FEX Data Lane 3 to Hub1 | Error Count: 63630411 Link: HTM14 FEX Data Lane 4 to Hub1 | Error Count: 63646380 Link: HTM14 FEX Data Lane 5 to Hub1 | Error Count: 63643636 Link: HTM14 FEX Data Lane 0 to Hub2 | Error Count: 63643872 Link: HTM14 FEX Data Lane 1 to Hub2 | Error Count: 63641409 Link: HTM14 FEX Data Lane 2 to Hub2 | Error Count: 63642538 Link: HTM14 FEX Data Lane 3 to Hub2 | Error Count: 63634915 Link: HTM14 FEX Data Lane 4 to Hub2 | Error Count: 63636018 Link: HTM14 FEX Data Lane 5 to Hub2 | Error Count: 63641486 Link: Hub1 TX MiniPOD Channel 0 to HTM3 RX MiniPOD Channel 11 | Error Count: 28616775 Link: Hub1 TX MiniPOD Channel 1 to HTM3 RX MiniPOD Channel 10 | Error Count: 28604328 Link: Hub1 TX MiniPOD Channel 2 to HTM3 RX MiniPOD Channel 9 | Error Count: 28596357 Link: Hub1 TX MiniPOD Channel 4 to HTM3 RX MiniPOD Channel 7 | Error Count: 28596144 Link: Hub1 TX MiniPOD Channel 8 to HTM3 RX MiniPOD Channel 3 | Error Count: 28606390 Link: Hub1 TX MiniPOD Channel 10 to HTM3 RX MiniPOD Channel 1 | Error Count: 28616488 Link: Hub1 TX MiniPOD Channel 11 to HTM3 RX MiniPOD Channel 0 | Error Count: 2667451285 Link: Hub2 TX MiniPOD Channel 0 to HTM4 RX MiniPOD Channel 11 | Error Count: 28615941 Link: Hub2 TX MiniPOD Channel 1 to HTM4 RX MiniPOD Channel 10 | Error Count: 28621144 Link: Hub2 TX MiniPOD Channel 2 to HTM4 RX MiniPOD Channel 9 | Error Count: 28627418 Link: Hub2 TX MiniPOD Channel 4 to HTM4 RX MiniPOD Channel 7 | Error Count: 28635044 Link: Hub2 TX MiniPOD Channel 6 to HTM4 RX MiniPOD Channel 5 | Error Count: 28637244 Link: Hub2 TX MiniPOD Channel 8 to HTM4 RX MiniPOD Channel 3 | Error Count: 28641867 Link: Hub2 TX MiniPOD Channel 10 to HTM4 RX MiniPOD Channel 1 | Error Count: 28641377 Link: Hub2 TX MiniPOD Channel 11 to HTM4 RX MiniPOD Channel 0 | Error Count: 2667284604 Link: HTM4 TX MiniPOD Channel 0 to Hub2 RX MiniPOD Channel 2 | Error Count: 63725658 Link: HTM4 TX MiniPOD Channel 1 to Hub2 RX MiniPOD Channel 4 | Error Count: 63703178 Link: HTM4 TX MiniPOD Channel 3 to Hub2 RX MiniPOD Channel 8 | Error Count: 63704543 Link: Readout Control Data from ROD to Hub1 | Error Count: 1005099364 Link: Readout Control Data from ROD to Hub2 | Error Count: 1005033633 [Aug 11 15:48:37 2020]: Error Report Finished