// // // // Shape Edit Section of the // -==========----------------- // // Hub Module Printed Circuit Board Geometry File // -------------------------------------------------- // // // Area Fills on Signal Layer 5 <--- // ---------------------------=== // // // // Original Rev. 3-Feb-2016 // Current Rev. 11-Jan-2017 // // // Notes: // // - These are Area Fills because they are on a Signal layer // in the Mentor design. // // - The principal power net that is distributed on Signal_5 // is: BULK_3V3. // // - These fills are kept back at least 4.5 mm from the // top and bottom edges so that the area fills will not be // exposed when the top and bottom edges are milled to // allow this card to fit into the crate card guides. // // - These fills are kept back at least 1mm from the front // and back edges to comply with normal decorum. // // - As of 13-Sept-2016 the section of the BULK_3V3 fill that // runs South of the MegArray connectors have been removed. // // - EVERYTHING in this Signal_5 fill file is repeated // on both the layers: SHAPE_EDIT and DIELECTRIC_3. // See the bottom section of this file. // // - As of 17-Oct-2016 there are 8 shapes defined in this file. // // BULK_3V3: Main BULK_3V3 fill over a large section of the card // Section of the BULK_3V3 fill that runs South of the MegArrays // Hi Resolution BULK_3V3 fill under the West edge of the FPGA // Hi Resolution BULK_3V3 fill under the 3 Switch Chips // // BULK_2V5: From output of the DCDC-9 supply to the MiniPOD Filter // inputs and to discrete wire terminal WTERM51 for the // BULK_2V5 run to the Clock Distribution. // // MiniPOD: Separate Filtered MP_2V5 and MP_3V3 for each MiniPOD. // // // // // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: Main BULK_3V3 fill over much of the Hub PCB. // // Resolution: Medium // $$initial([ 250.0, 317.5 ], , @nosnap ); // Start in the NE corner $$terminal([ 19.0, 317.5 ] ); // Go most of the way West across the Top $$terminal([ 19.0, 301.0 ] ); // Jog South just a little to clear the NW // corner where we do not need the BULK_3V3 supply. $$terminal([ 2.5, 301.0 ] ); // Finish going West across the Top // to get close to front edge $$terminal([ 2.5, 202.0 ] ); // Go Down the front edge - stop above the MiniPODS $$terminal([ 30.5, 202.0 ] ); // Jog in East to clear the MiniPODS $$terminal([ 30.5, 181.5 ] ); // Go South to the top of the finger that feeds MP1 $$terminal([ 19.0, 181.5 ] ); // Go West across the top of the MP1 feed finger $$terminal([ 19.0, 174.5 ] ); // Go South past the MP1 feed vias $$terminal([ 30.5, 174.5 ] ); // Go East back to the main BULK_3V3 fill $$terminal([ 30.5, 154.5 ] ); // Go South to the top of the DCDC-9 feed $$terminal([ 15.0, 154.5 ] ); // Go West across the top of the finger that feeds DCDC-9 $$terminal([ 15.0, 144.5 ] ); // Go South past the vias that feed DCDC-9 $$terminal([ 32.5, 144.5 ] ); // Go East back to the main BULK_3V3 feed $$terminal([ 32.5, 133.5 ] ); // Go South down past the DCDC-9 supply $$terminal([ 9.5, 133.5 ] ); // Jog in West back out to pickup Condo RJ45 LEDs $$terminal([ 9.5, 69.0 ] ); // Go Down past the 3 Condo RJ45 connectors // Note must connect with trace the West most // Condo RJ45 LED Anode pins to BULK_3V3. // 6 of these trace connections are required. $$terminal([ 7.0, 69.0 ] ); // Jog back out West to pickup Fuse F7 etc. $$terminal([ 7.0, 26.5 ] ); // Go Down past J2 Access, Fuse F7, etc. $$terminal([ 25.0, 26.5 ] ); // Go East - stop before getting to close Iso_12V $$terminal([ 25.0, 42.0 ] ); // Go North - up to top edge of Iso_12V module // This is South of all Switch Chip 3V3 vias $$terminal([ 54.5, 42.0 ] ); // NO_MITER Go far enough East to pickup the Hi // Resolution BULK_3V3 Fill under the 3 Switch Chips $$terminal([ 54.5, 79.0 ] ); // NO_MITER Go North to the top side of the Switch chips. $$terminal([ 92.3, 79.0 ] ); // NO_MITER Go East arcoss part of the West most Switch // chip far enough East to pick up the feed to CLK_3V3. $$terminal([ 92.3, 92.3 ] ); // Go North to get good connection to main 3V3 fill $$terminal([ 81.0, 92.3 ] ); // Go West past the West edge of Clk 3V3 Filter $$terminal([ 81.0, 102.0 ] ); // Go North past the West edge of the Clocks $$terminal([ 89.5, 102.0 ] ); // Go East to the West edge of the FPGA $$terminal([ 89.5, 194.5 ] ); // NO_MITER Go North up the West edge of the FPGA // Note there is a separate high resolution // BULK_3V3 Fill Finger under the FPGA $$terminal([ 82.0, 202.0 ] ); // NO_MITER Jog a little West to keep out of the // DCDC1 Converter $$terminal([ 82.0, 216.0 ] ); // Finish going North $$terminal([ 126.0, 216.0 ] ); // NO_MITER Go East past the S1 MegArray connector // to pickup the feed to the DCDC-4 supply. $$terminal([ 127.5, 214.5 ] ); // NO_MITER Jog 45 deg SE to get more Cu South of ROD Screw $$terminal([ 148.0, 214.5 ] ); // Go East the rest of the way for the DCDC-4 feed $$terminal([ 148.0, 208.0 ] ); // Go South to feed the DCDC-4 input filter. $$terminal([ 158.0, 208.0 ] ); // Go East past the DCDC-4 input. $$terminal([ 158.0, 229.5 ] ); // Go North back up to the MegArray S1. $$terminal([ 130.0, 229.5 ] ); // Go West back to the main BULK_3V3 fill. $$terminal([ 130.0, 283.0 ] ); // Go North up the West edge of the S1 MegArray. $$terminal([ 228.0, 283.0 ] ); // Go East Across the top of S1 and S2 MegArrays. $$terminal([ 228.0, 267.0 ] ); // Go Down the East edge of the S2 MegArray $$terminal([ 250.0, 267.0 ] ); // Go East Across the Bottom of the BULK_3V3 // DCDC Converter $$terminal([ 250.0, 317.5 ] ); // Go North up the East edge of the BULK_3V3 // DCDC Converter back to home. $$path( "SHAPE_EDIT", 0.0 ); // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: BULK_3V3 fill under the FPGA's NW corner // // Resolution: Hi // $$initial([ 103.0, 159.8 ], , @nosnap ); // NO_MITER Start in the NE corner $$terminal([ 92.2, 159.8 ] ); // NO_MITER Go West across part of the top of this fill $$terminal([ 89.0, 163.0 ] ); // NO_MITER Go NW at 45 deg $$terminal([ 89.0, 136.5 ] ); // NO_MITER Go South down to the center of the FPGA $$terminal([ 92.0, 139.5 ] ); // NO_MITER Go NE at 45 deg to make the SW corner of this fill $$terminal([ 106.7, 139.5 ] ); // Go East just far enough for all 3V3 pins $$terminal([ 106.7, 153.2 ] ); // NO_MITER Go North past most of the FPGA's 3V3 pins $$terminal([ 103.8, 156.1 ] ); // NO_MITER Go NW at 45 deg back to main East edge of fill $$terminal([ 103.8, 159.0 ] ); // NO_MITER Go North up main East edge of this fill $$terminal([ 103.0, 159.8 ] ); // NO_MITER Go 45 deg NW back home $$path( "SHAPE_EDIT", 0.0 ); // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: BULK_3V3 fill under the 3 Switch Chips // // Resolution: Hi // $$initial([ 181.5, 79.5 ], , @nosnap ); // NO_MITER Start in the NE corner and go CCW $$terminal([ 54.0, 79.5 ] ); // NO_MITER Go West across the top of the Switch Chips $$terminal([ 54.0, 42.0 ] ); // NO_MITER Go South along the West edge of Switch Chips $$terminal([ 191.5, 42.0 ] ); // Go East under the Switch Chops $$terminal([ 191.5, 69.5 ] ); // NO_MITER Go North up East edge of the Switches $$terminal([ 181.5, 79.5 ] ); // NO_MITER Go NW at 45 deg to home - cut for MGT traces $$path( "SHAPE_EDIT", 0.0 ); // // Net: MP1_3V3 // // Fill Layer: Signal_5 // // Location: MP1_3V3 fill MiniPOD #1 - the Transmitter // // Resolution: Medium // $$initial([ 29.5, 201.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 21.0, 201.0 ] ); // Go West across the Top $$terminal([ 21.0, 183.5 ] ); // Go Down the West edge $$terminal([ 29.5, 183.5 ] ); // Go East Across the Bottom $$terminal([ 29.5, 201.0 ] ); // Go Up the East edge and home $$path( "SHAPE_EDIT", 0.0 ); // // Net: MP1_2V5 // // Fill Layer: Signal_5 // // Location: MP1_2V5 fill MiniPOD #1 - the Transmitter // // Resolution: Medium // $$initial([ 20.0, 200.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 10.0, 200.0 ] ); // Go West across the Top $$terminal([ 10.0, 182.5 ] ); // Go Down the West edge $$terminal([ 20.0, 182.5 ] ); // Go East Across the Bottom $$terminal([ 20.0, 200.0 ] ); // Go Up the East edge and home $$path( "SHAPE_EDIT", 0.0 ); // // Net: MP2_3V3 // // Fill Layer: Signal_5 // // Location: MP2_3V3 fill MiniPOD #2 - the Receiver // // Resolution: Medium // $$initial([ 29.5, 173.5 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 21.0, 173.5 ] ); // Go West across the Top $$terminal([ 21.0, 155.5 ] ); // Go Down the West edge $$terminal([ 29.5, 155.5 ] ); // Go East Across the Bottom $$terminal([ 29.5, 173.5 ] ); // Go Up the East edge and home $$path( "SHAPE_EDIT", 0.0 ); // // Net: MP2_2V5 // // Fill Layer: Signal_5 // // Location: MP2_2V5 fill MiniPOD #2 - the Receiver // // Resolution: Medium // $$initial([ 20.0, 173.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 11.0, 173.0 ] ); // Go West across the Top $$terminal([ 11.0, 155.5 ] ); // Go Down the West edge $$terminal([ 20.0, 155.5 ] ); // Go East Across the Bottom $$terminal([ 20.0, 173.0 ] ); // Go Up the East edge and home $$path( "SHAPE_EDIT", 0.0 ); // // Net: BULK_2V5 // // Fill Layer: Signal_5 // // Location: BULK_2V5 fill by DCDC-9 and West of the MiniPODs // // Resolution: Medium // $$initial([ 18.0, 181.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 1.5, 181.0 ] ); // Go West across the Top $$terminal([ 1.5, 134.5 ] ); // Go Down the West edge $$terminal([ 31.5, 134.5 ] ); // Go East Across the Bottom $$terminal([ 31.5, 144.0 ] ); // Go North up under DCDC-9 $$terminal([ 14.0, 144.0 ] ); // Go West to clear the input feed to DCDC-9 $$terminal([ 14.0, 153.0 ] ); // Go North past the MP2 feed vias $$terminal([ 10.0, 153.0 ] ); // Go West to clear the MP2_2V5 fill $$terminal([ 10.0, 175.0 ] ); // Go North up to the MP1 feed vias $$terminal([ 18.0, 175.0 ] ); // Go East to cover the MP1 feed vias $$terminal([ 18.0, 181.0 ] ); // Go Up the East edge past MP1 vias and home. $$path( "SHAPE_EDIT", 0.0 ); // // =-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- // =-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- // // Repete the above on design layer $$path( "DIELECTRIC_3", 0.0 ); // // =-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- // =-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=- // // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: Main BULK_3V3 fill over much of the Hub PCB. // // Resolution: Medium // $$initial([ 250.0, 317.5 ], , @nosnap ); // Start in the NE corner $$terminal([ 19.0, 317.5 ] ); // Go most of the way West across the Top $$terminal([ 19.0, 301.0 ] ); // Jog South just a little to clear the NW // corner where we do not need the BULK_3V3 supply. $$terminal([ 2.5, 301.0 ] ); // Finish going West across the Top // to get close to front edge $$terminal([ 2.5, 202.0 ] ); // Go Down the front edge - stop above the MiniPODS $$terminal([ 30.5, 202.0 ] ); // Jog in East to clear the MiniPODS $$terminal([ 30.5, 181.5 ] ); // Go South to the top of the finger that feeds MP1 $$terminal([ 19.0, 181.5 ] ); // Go West across the top of the MP1 feed finger $$terminal([ 19.0, 174.5 ] ); // Go South past the MP1 feed vias $$terminal([ 30.5, 174.5 ] ); // Go East back to the main BULK_3V3 fill $$terminal([ 30.5, 154.5 ] ); // Go South to the top of the DCDC-9 feed $$terminal([ 15.0, 154.5 ] ); // Go West across the top of the finger that feeds DCDC-9 $$terminal([ 15.0, 144.5 ] ); // Go South past the vias that feed DCDC-9 $$terminal([ 32.5, 144.5 ] ); // Go East back to the main BULK_3V3 feed $$terminal([ 32.5, 133.5 ] ); // Go South down past the DCDC-9 supply $$terminal([ 9.5, 133.5 ] ); // Jog in West back out to pickup Condo RJ45 LEDs $$terminal([ 9.5, 69.0 ] ); // Go Down past the 3 Condo RJ45 connectors // Note must connect with trace the West most // Condo RJ45 LED Anode pins to BULK_3V3. // 6 of these trace connections are required. $$terminal([ 7.0, 69.0 ] ); // Jog back out West to pickup Fuse F7 etc. $$terminal([ 7.0, 26.5 ] ); // Go Down past J2 Access, Fuse F7, etc. $$terminal([ 25.0, 26.5 ] ); // Go East - stop before getting to close Iso_12V $$terminal([ 25.0, 42.0 ] ); // Go North - up to top edge of Iso_12V module // This is South of all Switch Chip 3V3 vias $$terminal([ 54.5, 42.0 ] ); // NO_MITER Go far enough East to pickup the Hi // Resolution BULK_3V3 Fill under the 3 Switch Chips $$terminal([ 54.5, 79.0 ] ); // NO_MITER Go North to the top side of the Switch chips. $$terminal([ 92.3, 79.0 ] ); // NO_MITER Go East arcoss part of the West most Switch // chip far enough East to pick up the feed to CLK_3V3. $$terminal([ 92.3, 92.3 ] ); // Go North to get good connection to main 3V3 fill $$terminal([ 81.0, 92.3 ] ); // Go West past the West edge of Clk 3V3 Filter $$terminal([ 81.0, 102.0 ] ); // Go North past the West edge of the Clocks $$terminal([ 89.5, 102.0 ] ); // Go East to the West edge of the FPGA $$terminal([ 89.5, 194.5 ] ); // NO_MITER Go North up the West edge of the FPGA // Note there is a separate high resolution // BULK_3V3 Fill Finger under the FPGA $$terminal([ 82.0, 202.0 ] ); // NO_MITER Jog a little West to keep out of the // DCDC1 Converter $$terminal([ 82.0, 216.0 ] ); // Finish going North $$terminal([ 126.0, 216.0 ] ); // NO_MITER Go East past the S1 MegArray connector // to pickup the feed to the DCDC-4 supply. $$terminal([ 127.5, 214.5 ] ); // NO_MITER Jog 45 deg SE to get more Cu South of ROD Screw $$terminal([ 148.0, 214.5 ] ); // Go East the rest of the way for the DCDC-4 feed $$terminal([ 148.0, 208.0 ] ); // Go South to feed the DCDC-4 input filter. $$terminal([ 158.0, 208.0 ] ); // Go East past the DCDC-4 input. $$terminal([ 158.0, 229.5 ] ); // Go North back up to the MegArray S1. $$terminal([ 130.0, 229.5 ] ); // Go West back to the main BULK_3V3 fill. $$terminal([ 130.0, 283.0 ] ); // Go North up the West edge of the S1 MegArray. $$terminal([ 228.0, 283.0 ] ); // Go East Across the top of S1 and S2 MegArrays. $$terminal([ 228.0, 267.0 ] ); // Go Down the East edge of the S2 MegArray $$terminal([ 250.0, 267.0 ] ); // Go East Across the Bottom of the BULK_3V3 // DCDC Converter $$terminal([ 250.0, 317.5 ] ); // Go North up the East edge of the BULK_3V3 // DCDC Converter back to home. $$path( "DIELECTRIC_3", 0.0 ); // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: BULK_3V3 fill under the FPGA's NW corner // // Resolution: Hi // $$initial([ 103.0, 159.8 ], , @nosnap ); // NO_MITER Start in the NE corner $$terminal([ 92.2, 159.8 ] ); // NO_MITER Go West across part of the top of this fill $$terminal([ 89.0, 163.0 ] ); // NO_MITER Go NW at 45 deg $$terminal([ 89.0, 136.5 ] ); // NO_MITER Go South down to the center of the FPGA $$terminal([ 92.0, 139.5 ] ); // NO_MITER Go NE at 45 deg to make the SW corner of this fill $$terminal([ 106.7, 139.5 ] ); // Go East just far enough for all 3V3 pins $$terminal([ 106.7, 153.2 ] ); // NO_MITER Go North past most of the FPGA's 3V3 pins $$terminal([ 103.8, 156.1 ] ); // NO_MITER Go NW at 45 deg back to main East edge of fill $$terminal([ 103.8, 159.0 ] ); // NO_MITER Go North up main East edge of this fill $$terminal([ 103.0, 159.8 ] ); // NO_MITER Go 45 deg NW back home $$path( "DIELECTRIC_3", 0.0 ); // // Net: BULK_3V3 // // Fill Layer: Signal_5 // // Location: BULK_3V3 fill under the 3 Switch Chips // // Resolution: Hi // $$initial([ 181.5, 79.5 ], , @nosnap ); // NO_MITER Start in the NE corner and go CCW $$terminal([ 54.0, 79.5 ] ); // NO_MITER Go West across the top of the Switch Chips $$terminal([ 54.0, 42.0 ] ); // NO_MITER Go South along the West edge of Switch Chips $$terminal([ 191.5, 42.0 ] ); // Go East under the Switch Chops $$terminal([ 191.5, 69.5 ] ); // NO_MITER Go North up East edge of the Switches $$terminal([ 181.5, 79.5 ] ); // NO_MITER Go NW at 45 deg to home - cut for MGT traces $$path( "DIELECTRIC_3", 0.0 ); // // Net: MP1_3V3 // // Fill Layer: Signal_5 // // Location: MP1_3V3 fill MiniPOD #1 - the Transmitter // // Resolution: Medium // $$initial([ 29.5, 201.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 21.0, 201.0 ] ); // Go West across the Top $$terminal([ 21.0, 183.5 ] ); // Go Down the West edge $$terminal([ 29.5, 183.5 ] ); // Go East Across the Bottom $$terminal([ 29.5, 201.0 ] ); // Go Up the East edge and home $$path( "DIELECTRIC_3", 0.0 ); // // Net: MP1_2V5 // // Fill Layer: Signal_5 // // Location: MP1_2V5 fill MiniPOD #1 - the Transmitter // // Resolution: Medium // $$initial([ 20.0, 200.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 10.0, 200.0 ] ); // Go West across the Top $$terminal([ 10.0, 182.5 ] ); // Go Down the West edge $$terminal([ 20.0, 182.5 ] ); // Go East Across the Bottom $$terminal([ 20.0, 200.0 ] ); // Go Up the East edge and home $$path( "DIELECTRIC_3", 0.0 ); // // Net: MP2_3V3 // // Fill Layer: Signal_5 // // Location: MP2_3V3 fill MiniPOD #2 - the Receiver // // Resolution: Medium // $$initial([ 29.5, 173.5 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 21.0, 173.5 ] ); // Go West across the Top $$terminal([ 21.0, 155.5 ] ); // Go Down the West edge $$terminal([ 29.5, 155.5 ] ); // Go East Across the Bottom $$terminal([ 29.5, 173.5 ] ); // Go Up the East edge and home $$path( "DIELECTRIC_3", 0.0 ); // // Net: MP2_2V5 // // Fill Layer: Signal_5 // // Location: MP2_2V5 fill MiniPOD #2 - the Receiver // // Resolution: Medium // $$initial([ 20.0, 173.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 11.0, 173.0 ] ); // Go West across the Top $$terminal([ 11.0, 155.5 ] ); // Go Down the West edge $$terminal([ 20.0, 155.5 ] ); // Go East Across the Bottom $$terminal([ 20.0, 173.0 ] ); // Go Up the East edge and home $$path( "DIELECTRIC_3", 0.0 ); // // Net: BULK_2V5 // // Fill Layer: Signal_5 // // Location: BULK_2V5 fill by DCDC-9 and West of the MiniPODs // // Resolution: Medium // $$initial([ 18.0, 181.0 ], , @nosnap ); // Start in the NE corner and go CCW $$terminal([ 1.5, 181.0 ] ); // Go West across the Top $$terminal([ 1.5, 134.5 ] ); // Go Down the West edge $$terminal([ 31.5, 134.5 ] ); // Go East Across the Bottom $$terminal([ 31.5, 144.0 ] ); // Go North up under DCDC-9 $$terminal([ 14.0, 144.0 ] ); // Go West to clear the input feed to DCDC-9 $$terminal([ 14.0, 153.0 ] ); // Go North past the MP2 feed vias $$terminal([ 10.0, 153.0 ] ); // Go West to clear the MP2_2V5 fill $$terminal([ 10.0, 175.0 ] ); // Go North up to the MP1 feed vias $$terminal([ 18.0, 175.0 ] ); // Go East to cover the MP1 feed vias $$terminal([ 18.0, 181.0 ] ); // Go Up the East edge past MP1 vias and home. $$path( "DIELECTRIC_3", 0.0 );