CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
RLOC_CompExch.ucf
Go to the documentation of this file.
1 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/M*" U_SET="uset_compExch_Layer_00_to_01_sites_00_01";
2 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
42 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
43 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
44 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
45 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
46 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
47 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
48 #INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
49 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
50 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
51 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
52 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
53 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
54 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
55 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
56 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
57 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
58 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
59 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
60 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
61 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
62 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
63 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
64 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
65 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
66 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
67 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
68 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
69 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
70 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
71 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
72 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
73 
74 INST "decoder_inst/compExch_Layer_00_to_01_sites_00_01/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_00_01";
75 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_00_01" RANGE=SLICE_X140Y2:SLICE_X149Y233;
76 
77 
78 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/M*" U_SET="uset_compExch_Layer_00_to_01_sites_02_03";
79 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
80 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
81 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
82 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
83 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
84 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
85 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
86 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
87 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
88 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
89 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
90 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
91 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
92 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
93 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
94 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
95 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
96 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
97 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
98 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
99 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
100 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
101 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
102 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
103 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
104 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
105 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
106 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
107 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
108 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
109 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
110 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
111 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
112 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
113 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
114 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
115 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
116 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
117 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
118 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
119 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
120 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
121 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
122 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
123 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
124 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
125 #INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
126 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
127 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
128 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
129 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
130 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
131 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
132 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
133 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
134 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
135 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
136 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
137 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
138 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
139 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
140 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
141 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
142 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
143 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
144 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
145 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
146 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
147 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
148 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
149 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
150 
151 INST "decoder_inst/compExch_Layer_00_to_01_sites_02_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_02_03";
152 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_02_03" RANGE=SLICE_X140Y2:SLICE_X149Y233;
153 
154 
155 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/M*" U_SET="uset_compExch_Layer_00_to_01_sites_04_05";
156 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
157 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
158 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
159 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
160 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
161 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
162 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
163 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
164 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
165 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
166 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
167 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
168 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
169 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
170 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
171 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
172 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
173 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
174 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
175 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
176 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
177 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
178 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
179 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
180 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
181 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
182 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
183 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
184 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
185 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
186 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
187 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
188 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
189 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
190 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
191 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
192 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
193 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
194 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
195 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
196 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
197 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
198 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
199 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
200 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
201 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
202 #INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
203 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
204 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
205 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
206 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
207 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
208 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
209 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
210 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
211 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
212 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
213 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
214 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
215 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
216 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
217 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
218 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
219 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
220 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
221 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
222 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
223 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
224 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
225 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
226 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
227 
228 INST "decoder_inst/compExch_Layer_00_to_01_sites_04_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_04_05";
229 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_04_05" RANGE=SLICE_X140Y2:SLICE_X149Y233;
230 
231 
232 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/M*" U_SET="uset_compExch_Layer_00_to_01_sites_06_07";
233 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
234 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
235 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
236 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
237 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
238 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
239 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
240 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
241 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
242 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
243 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
244 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
245 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
246 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
247 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
248 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
249 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
250 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
251 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
252 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
253 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
254 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
255 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
256 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
257 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
258 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
259 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
260 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
261 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
262 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
263 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
264 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
265 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
266 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
267 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
268 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
269 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
270 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
271 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
272 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
273 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
274 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
275 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
276 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
277 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
278 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
279 #INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
280 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
281 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
282 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
283 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
284 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
285 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
286 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
287 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
288 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
289 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
290 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
291 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
292 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
293 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
294 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
295 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
296 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
297 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
298 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
299 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
300 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
301 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
302 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
303 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
304 
305 INST "decoder_inst/compExch_Layer_00_to_01_sites_06_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_06_07";
306 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_06_07" RANGE=SLICE_X140Y2:SLICE_X149Y233;
307 
308 
309 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/M*" U_SET="uset_compExch_Layer_00_to_01_sites_08_09";
310 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
311 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
312 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
313 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
314 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
315 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
316 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
317 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
318 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
319 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
320 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
321 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
322 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
323 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
324 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
325 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
326 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
327 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
328 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
329 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
330 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
331 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
332 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
333 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
334 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
335 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
336 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
337 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
338 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
339 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
340 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
341 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
342 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
343 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
344 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
345 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
346 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
347 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
348 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
349 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
350 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
351 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
352 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
353 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
354 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
355 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
356 #INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
357 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
358 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
359 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
360 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
361 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
362 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
363 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
364 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
365 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
366 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
367 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
368 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
369 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
370 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
371 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
372 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
373 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
374 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
375 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
376 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
377 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
378 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
379 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
380 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
381 
382 INST "decoder_inst/compExch_Layer_00_to_01_sites_08_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_08_09";
383 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_08_09" RANGE=SLICE_X140Y2:SLICE_X149Y233;
384 
385 
386 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/M*" U_SET="uset_compExch_Layer_00_to_01_sites_10_11";
387 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
388 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
389 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
390 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
391 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
392 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
393 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
394 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
395 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
396 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
397 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
398 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
399 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
400 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
401 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
402 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
403 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
404 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
405 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
406 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
407 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
408 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
409 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
410 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
411 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
412 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
413 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
414 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
415 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
416 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
417 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
418 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
419 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
420 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
421 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
422 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
423 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
424 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
425 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
426 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
427 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
428 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
429 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
430 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
431 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
432 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
433 #INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
434 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
435 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
436 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
437 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
438 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
439 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
440 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
441 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
442 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
443 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
444 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
445 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
446 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
447 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
448 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
449 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
450 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
451 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
452 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
453 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
454 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
455 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
456 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
457 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
458 
459 INST "decoder_inst/compExch_Layer_00_to_01_sites_10_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_10_11";
460 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_10_11" RANGE=SLICE_X140Y2:SLICE_X149Y233;
461 
462 
463 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/M*" U_SET="uset_compExch_Layer_00_to_01_sites_12_13";
464 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
465 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
466 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
467 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
468 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
469 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
470 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
471 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
472 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
473 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
474 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
475 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
476 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
477 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
478 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
479 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
480 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
481 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
482 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
483 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
484 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
485 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
486 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
487 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
488 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
489 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
490 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
491 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
492 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
493 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
494 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
495 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
496 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
497 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
498 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
499 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
500 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
501 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
502 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
503 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
504 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
505 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
506 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
507 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
508 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
509 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
510 #INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
511 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
512 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
513 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
514 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
515 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
516 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
517 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
518 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
519 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
520 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
521 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
522 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
523 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
524 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
525 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
526 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
527 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
528 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
529 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
530 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
531 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
532 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
533 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
534 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
535 
536 INST "decoder_inst/compExch_Layer_00_to_01_sites_12_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_12_13";
537 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_12_13" RANGE=SLICE_X140Y2:SLICE_X149Y233;
538 
539 
540 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/M*" U_SET="uset_compExch_Layer_00_to_01_sites_14_15";
541 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
542 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
543 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
544 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
545 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
546 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
547 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
548 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
549 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
550 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
551 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
552 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
553 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
554 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
555 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
556 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
557 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
558 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
559 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
560 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
561 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
562 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
563 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
564 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
565 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
566 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
567 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
568 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
569 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
570 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
571 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
572 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
573 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
574 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
575 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
576 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
577 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
578 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
579 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
580 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
581 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
582 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
583 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
584 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
585 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
586 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
587 #INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
588 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
589 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
590 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
591 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
592 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
593 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
594 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
595 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
596 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
597 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
598 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
599 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
600 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
601 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
602 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
603 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
604 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
605 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
606 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
607 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
608 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
609 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
610 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
611 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
612 
613 INST "decoder_inst/compExch_Layer_00_to_01_sites_14_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_14_15";
614 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_14_15" RANGE=SLICE_X140Y2:SLICE_X149Y233;
615 
616 
617 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/M*" U_SET="uset_compExch_Layer_00_to_01_sites_16_17";
618 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
619 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
620 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
621 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
622 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
623 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
624 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
625 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
626 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
627 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
628 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
629 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
630 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
631 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
632 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
633 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
634 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
635 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
636 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
637 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
638 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
639 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
640 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
641 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
642 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
643 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
644 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
645 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
646 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
647 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
648 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
649 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
650 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
651 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
652 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
653 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
654 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
655 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
656 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
657 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
658 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
659 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
660 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
661 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
662 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
663 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
664 #INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
665 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
666 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
667 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
668 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
669 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
670 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
671 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
672 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
673 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
674 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
675 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
676 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
677 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
678 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
679 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
680 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
681 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
682 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
683 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
684 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
685 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
686 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
687 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
688 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
689 
690 INST "decoder_inst/compExch_Layer_00_to_01_sites_16_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_16_17";
691 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_16_17" RANGE=SLICE_X140Y2:SLICE_X149Y233;
692 
693 
694 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/M*" U_SET="uset_compExch_Layer_00_to_01_sites_18_19";
695 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
696 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
697 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
698 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
699 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
700 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
701 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
702 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
703 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
704 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
705 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
706 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
707 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
708 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
709 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
710 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
711 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
712 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
713 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
714 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
715 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
716 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
717 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
718 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
719 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
720 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
721 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
722 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
723 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
724 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
725 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
726 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
727 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
728 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
729 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
730 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
731 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
732 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
733 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
734 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
735 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
736 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
737 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
738 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
739 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
740 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
741 #INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
742 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
743 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
744 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
745 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
746 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
747 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
748 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
749 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
750 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
751 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
752 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
753 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
754 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
755 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
756 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
757 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
758 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
759 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
760 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
761 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
762 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
763 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
764 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
765 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
766 
767 INST "decoder_inst/compExch_Layer_00_to_01_sites_18_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_18_19";
768 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_18_19" RANGE=SLICE_X140Y2:SLICE_X149Y233;
769 
770 
771 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/M*" U_SET="uset_compExch_Layer_00_to_01_sites_20_21";
772 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
773 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
774 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
775 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
776 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
777 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
778 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
779 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
780 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
781 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
782 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
783 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
784 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
785 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
786 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
787 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
788 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
789 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
790 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
791 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
792 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
793 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
794 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
795 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
796 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
797 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
798 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
799 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
800 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
801 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
802 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
803 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
804 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
805 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
806 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
807 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
808 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
809 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
810 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
811 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
812 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
813 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
814 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
815 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
816 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
817 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
818 #INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
819 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
820 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
821 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
822 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
823 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
824 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
825 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
826 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
827 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
828 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
829 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
830 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
831 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
832 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
833 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
834 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
835 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
836 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
837 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
838 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
839 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
840 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
841 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
842 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
843 
844 INST "decoder_inst/compExch_Layer_00_to_01_sites_20_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_20_21";
845 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_20_21" RANGE=SLICE_X140Y2:SLICE_X149Y233;
846 
847 
848 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/M*" U_SET="uset_compExch_Layer_00_to_01_sites_22_23";
849 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
850 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
851 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
852 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
853 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
854 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
855 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
856 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
857 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
858 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
859 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
860 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
861 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
862 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
863 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
864 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
865 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
866 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
867 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
868 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
869 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
870 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
871 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
872 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
873 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
874 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
875 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
876 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
877 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
878 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
879 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
880 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
881 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
882 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
883 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
884 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
885 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
886 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
887 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
888 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
889 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
890 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
891 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
892 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
893 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
894 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
895 #INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
896 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
897 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
898 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
899 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
900 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
901 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
902 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
903 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
904 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
905 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
906 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
907 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
908 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
909 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
910 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
911 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
912 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
913 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
914 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
915 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
916 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
917 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
918 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
919 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
920 
921 INST "decoder_inst/compExch_Layer_00_to_01_sites_22_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_22_23";
922 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_22_23" RANGE=SLICE_X140Y2:SLICE_X149Y233;
923 
924 
925 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/M*" U_SET="uset_compExch_Layer_00_to_01_sites_24_25";
926 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
927 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
928 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
929 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
930 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
931 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
932 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
933 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
934 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
935 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
936 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
937 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
938 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
939 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
940 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
941 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
942 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
943 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
944 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
945 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
946 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
947 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
948 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
949 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
950 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
951 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
952 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
953 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
954 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
955 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
956 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
957 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
958 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
959 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
960 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
961 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
962 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
963 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
964 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
965 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
966 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
967 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
968 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
969 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
970 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
971 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
972 #INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
973 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
974 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
975 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
976 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
977 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
978 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
979 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
980 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
981 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
982 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
983 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
984 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
985 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
986 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
987 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
988 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
989 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
990 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
991 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
992 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
993 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
994 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
995 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
996 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
997 
998 INST "decoder_inst/compExch_Layer_00_to_01_sites_24_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_24_25";
999 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_24_25" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1000 
1001 
1002 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/M*" U_SET="uset_compExch_Layer_00_to_01_sites_26_27";
1003 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1004 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1005 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1006 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1007 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1008 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1009 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1010 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1011 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1012 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1013 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1014 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1015 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1016 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1017 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1018 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1019 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1020 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1021 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1022 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1023 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1024 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1025 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1026 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1027 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1028 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1029 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1030 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1031 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1032 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1033 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1034 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1035 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1036 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1037 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1038 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1039 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1040 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1041 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1042 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1043 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1044 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1045 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1046 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1047 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1048 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1049 #INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1050 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1051 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1052 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1053 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1054 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1055 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1056 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1057 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1058 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1059 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1060 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1061 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1062 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1063 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1064 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1065 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1066 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1067 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1068 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1069 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1070 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1071 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1072 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1073 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1074 
1075 INST "decoder_inst/compExch_Layer_00_to_01_sites_26_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_26_27";
1076 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_26_27" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1077 
1078 
1079 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/M*" U_SET="uset_compExch_Layer_00_to_01_sites_28_29";
1080 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1081 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1082 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1083 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1084 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1085 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1086 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1087 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1088 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1089 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1090 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1091 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1092 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1093 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1094 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1095 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1096 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1097 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1098 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1099 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1100 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1101 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1102 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1103 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1104 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1105 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1106 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1107 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1108 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1109 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1110 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1111 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1112 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1113 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1114 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1115 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1116 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1117 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1118 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1119 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1120 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1121 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1122 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1123 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1124 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1125 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1126 #INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1127 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1128 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1129 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1130 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1131 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1132 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1133 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1134 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1135 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1136 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1137 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1138 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1139 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1140 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1141 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1142 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1143 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1144 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1145 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1146 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1147 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1148 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1149 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1150 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1151 
1152 INST "decoder_inst/compExch_Layer_00_to_01_sites_28_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_28_29";
1153 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_28_29" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1154 
1155 
1156 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/M*" U_SET="uset_compExch_Layer_00_to_01_sites_30_31";
1157 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1158 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1159 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1160 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1161 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1162 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1163 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1164 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1165 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1166 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1167 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1168 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1169 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1170 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1171 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1172 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1173 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1174 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1175 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1176 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1177 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1178 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1179 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1180 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1181 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1182 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1183 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1184 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1185 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1186 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1187 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1188 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1189 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1190 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1191 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1192 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1193 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1194 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1195 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1196 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1197 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1198 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1199 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1200 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1201 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1202 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1203 #INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1204 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1205 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1206 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1207 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1208 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1209 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1210 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1211 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1212 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1213 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1214 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1215 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1216 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1217 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1218 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1219 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1220 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1221 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1222 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1223 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1224 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1225 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1226 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1227 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1228 
1229 INST "decoder_inst/compExch_Layer_00_to_01_sites_30_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_30_31";
1230 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_30_31" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1231 
1232 
1233 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/M*" U_SET="uset_compExch_Layer_00_to_01_sites_32_33";
1234 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1235 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1236 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1237 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1238 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1239 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1240 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1241 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1242 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1243 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1244 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1245 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1246 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1247 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1248 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1249 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1250 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1251 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1252 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1253 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1254 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1255 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1256 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1257 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1258 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1259 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1260 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1261 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1262 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1263 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1264 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1265 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1266 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1267 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1268 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1269 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1270 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1271 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1272 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1273 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1274 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1275 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1276 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1277 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1278 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1279 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1280 #INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1281 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1282 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1283 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1284 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1285 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1286 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1287 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1288 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1289 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1290 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1291 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1292 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1293 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1294 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1295 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1296 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1297 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1298 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1299 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1300 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1301 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1302 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1303 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1304 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1305 
1306 INST "decoder_inst/compExch_Layer_00_to_01_sites_32_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_32_33";
1307 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_32_33" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1308 
1309 
1310 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/M*" U_SET="uset_compExch_Layer_00_to_01_sites_34_35";
1311 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1312 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1313 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1314 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1315 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1316 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1317 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1318 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1319 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1320 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1321 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1322 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1323 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1324 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1325 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1326 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1327 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1328 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1329 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1330 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1331 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1332 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1333 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1334 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1335 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1336 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1337 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1338 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1339 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1340 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1341 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1342 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1343 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1344 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1345 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1346 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1347 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1348 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1349 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1350 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1351 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1352 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1353 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1354 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1355 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1356 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1357 #INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1358 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1359 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1360 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1361 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1362 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1363 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1364 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1365 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1366 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1367 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1368 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1369 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1370 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1371 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1372 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1373 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1374 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1375 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1376 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1377 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1378 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1379 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1380 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1381 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1382 
1383 INST "decoder_inst/compExch_Layer_00_to_01_sites_34_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_34_35";
1384 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_34_35" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1385 
1386 
1387 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/M*" U_SET="uset_compExch_Layer_00_to_01_sites_36_37";
1388 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1389 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1390 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1391 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1392 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1393 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1394 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1395 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1396 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1397 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1398 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1399 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1400 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1401 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1402 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1403 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1404 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1405 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1406 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1407 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1408 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1409 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1410 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1411 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1412 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1413 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1414 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1415 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1416 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1417 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1418 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1419 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1420 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1421 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1422 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1423 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1424 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1425 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1426 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1427 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1428 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1429 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1430 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1431 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1432 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1433 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1434 #INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1435 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1436 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1437 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1438 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1439 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1440 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1441 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1442 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1443 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1444 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1445 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1446 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1447 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1448 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1449 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1450 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1451 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1452 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1453 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1454 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1455 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1456 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1457 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1458 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1459 
1460 INST "decoder_inst/compExch_Layer_00_to_01_sites_36_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_36_37";
1461 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_36_37" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1462 
1463 
1464 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/M*" U_SET="uset_compExch_Layer_00_to_01_sites_38_39";
1465 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1466 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1467 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1468 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1469 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1470 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1471 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1472 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1473 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1474 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1475 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1476 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1477 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1478 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1479 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1480 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1481 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1482 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1483 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1484 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1485 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1486 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1487 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1488 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1489 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1490 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1491 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1492 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1493 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1494 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1495 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1496 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1497 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1498 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1499 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1500 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1501 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1502 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1503 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1504 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1505 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1506 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1507 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1508 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1509 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1510 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1511 #INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1512 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1513 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1514 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1515 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1516 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1517 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1518 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1519 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1520 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1521 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1522 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1523 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1524 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1525 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1526 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1527 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1528 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1529 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1530 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1531 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1532 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1533 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1534 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1535 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1536 
1537 INST "decoder_inst/compExch_Layer_00_to_01_sites_38_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_38_39";
1538 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_38_39" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1539 
1540 
1541 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/M*" U_SET="uset_compExch_Layer_00_to_01_sites_40_41";
1542 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1543 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1544 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1545 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1546 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1547 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1548 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1549 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1550 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1551 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1552 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1553 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1554 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1555 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1556 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1557 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1558 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1559 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1560 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1561 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1562 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1563 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1564 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1565 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1566 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1567 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1568 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1569 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1570 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1571 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1572 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1573 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1574 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1575 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1576 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1577 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1578 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1579 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1580 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1581 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1582 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1583 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1584 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1585 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1586 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1587 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1588 #INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1589 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1590 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1591 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1592 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1593 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1594 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1595 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1596 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1597 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1598 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1599 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1600 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1601 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1602 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1603 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1604 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1605 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1606 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1607 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1608 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1609 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1610 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1611 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1612 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1613 
1614 INST "decoder_inst/compExch_Layer_00_to_01_sites_40_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_40_41";
1615 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_40_41" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1616 
1617 
1618 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/M*" U_SET="uset_compExch_Layer_00_to_01_sites_42_43";
1619 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1620 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1621 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1622 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1623 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1624 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1625 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1626 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1627 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1628 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1629 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1630 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1631 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1632 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1633 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1634 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1635 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1636 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1637 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1638 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1639 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1640 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1641 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1642 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1643 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1644 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1645 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1646 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1647 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1648 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1649 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1650 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1651 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1652 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1653 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1654 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1655 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1656 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1657 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1658 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1659 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1660 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1661 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1662 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1663 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1664 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1665 #INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1666 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1667 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1668 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1669 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1670 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1671 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1672 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1673 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1674 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1675 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1676 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1677 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1678 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1679 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1680 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1681 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1682 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1683 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1684 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1685 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1686 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1687 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1688 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1689 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1690 
1691 INST "decoder_inst/compExch_Layer_00_to_01_sites_42_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_42_43";
1692 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_42_43" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1693 
1694 
1695 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/M*" U_SET="uset_compExch_Layer_00_to_01_sites_44_45";
1696 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1697 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1698 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1699 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1700 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1701 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1702 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1703 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1704 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1705 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1706 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1707 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1708 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1709 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1710 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1711 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1712 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1713 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1714 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1715 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1716 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1717 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1718 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1719 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1720 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1721 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1722 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1723 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1724 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1725 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1726 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1727 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1728 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1729 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1730 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1731 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1732 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1733 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1734 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1735 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1736 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1737 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1738 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1739 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1740 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1741 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1742 #INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1743 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1744 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1745 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1746 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1747 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1748 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1749 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1750 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1751 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1752 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1753 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1754 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1755 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1756 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1757 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1758 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1759 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1760 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1761 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1762 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1763 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1764 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1765 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1766 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1767 
1768 INST "decoder_inst/compExch_Layer_00_to_01_sites_44_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_44_45";
1769 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_44_45" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1770 
1771 
1772 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/M*" U_SET="uset_compExch_Layer_00_to_01_sites_46_47";
1773 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1774 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1775 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1776 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1777 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1778 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1779 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1780 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1781 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1782 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1783 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1784 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1785 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1786 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1787 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1788 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1789 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1790 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1791 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1792 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1793 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1794 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1795 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1796 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1797 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1798 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1799 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1800 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1801 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1802 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1803 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1804 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1805 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1806 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1807 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1808 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1809 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1810 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1811 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1812 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1813 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1814 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1815 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1816 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1817 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1818 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1819 #INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1820 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1821 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1822 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1823 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1824 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1825 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1826 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1827 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1828 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1829 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1830 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1831 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1832 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1833 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1834 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1835 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1836 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1837 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1838 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1839 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1840 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1841 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1842 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1843 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1844 
1845 INST "decoder_inst/compExch_Layer_00_to_01_sites_46_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_46_47";
1846 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_46_47" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1847 
1848 
1849 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/M*" U_SET="uset_compExch_Layer_00_to_01_sites_48_49";
1850 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1851 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1852 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1853 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1854 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1855 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1856 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1857 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1858 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1859 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1860 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1861 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1862 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1863 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1864 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1865 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1866 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1867 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1868 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1869 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1870 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1871 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1872 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1873 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1874 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1875 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1876 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1877 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1878 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1879 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1880 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1881 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1882 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1883 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1884 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1885 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1886 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1887 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1888 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1889 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1890 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1891 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1892 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1893 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1894 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1895 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1896 #INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1897 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1898 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1899 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1900 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1901 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1902 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1903 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1904 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1905 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1906 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1907 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1908 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1909 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1910 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1911 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1912 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1913 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1914 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1915 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1916 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1917 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1918 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1919 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1920 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1921 
1922 INST "decoder_inst/compExch_Layer_00_to_01_sites_48_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_48_49";
1923 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_48_49" RANGE=SLICE_X140Y2:SLICE_X149Y233;
1924 
1925 
1926 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/M*" U_SET="uset_compExch_Layer_00_to_01_sites_50_51";
1927 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
1928 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
1929 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
1930 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
1931 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
1932 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
1933 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
1934 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
1935 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
1936 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
1937 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
1938 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
1939 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
1940 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
1941 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
1942 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
1943 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
1944 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
1945 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
1946 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
1947 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
1948 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
1949 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
1950 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
1951 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
1952 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
1953 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
1954 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
1955 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
1956 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
1957 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
1958 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
1959 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
1960 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
1961 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
1962 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
1963 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
1964 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
1965 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
1966 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
1967 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
1968 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
1969 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
1970 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
1971 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
1972 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
1973 #INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
1974 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
1975 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
1976 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
1977 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
1978 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
1979 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
1980 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
1981 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
1982 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
1983 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
1984 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
1985 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
1986 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
1987 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
1988 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
1989 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
1990 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
1991 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
1992 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
1993 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
1994 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
1995 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
1996 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
1997 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
1998 
1999 INST "decoder_inst/compExch_Layer_00_to_01_sites_50_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_50_51";
2000 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_50_51" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2001 
2002 
2003 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/M*" U_SET="uset_compExch_Layer_00_to_01_sites_52_53";
2004 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2005 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2006 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2007 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2008 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2009 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2010 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2011 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2012 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2013 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2014 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2015 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2016 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2017 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2018 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2019 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2020 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2021 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2022 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2023 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2024 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2025 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2026 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2027 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2028 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2029 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2030 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2031 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2032 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2033 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2034 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2035 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2036 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2037 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2038 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2039 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2040 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2041 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2042 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2043 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2044 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2045 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2046 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2047 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2048 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2049 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2050 #INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2051 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2052 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2053 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2054 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2055 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2056 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2057 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2058 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2059 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2060 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2061 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2062 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2063 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2064 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2065 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2066 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2067 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2068 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2069 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2070 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2071 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2072 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2073 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2074 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2075 
2076 INST "decoder_inst/compExch_Layer_00_to_01_sites_52_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_52_53";
2077 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_52_53" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2078 
2079 
2080 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/M*" U_SET="uset_compExch_Layer_00_to_01_sites_54_55";
2081 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2082 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2083 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2084 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2085 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2086 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2087 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2088 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2089 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2090 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2091 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2092 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2093 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2094 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2095 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2096 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2097 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2098 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2099 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2100 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2101 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2102 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2103 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2104 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2105 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2106 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2107 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2108 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2109 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2110 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2111 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2112 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2113 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2114 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2115 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2116 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2117 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2118 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2119 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2120 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2121 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2122 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2123 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2124 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2125 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2126 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2127 #INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2128 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2129 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2130 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2131 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2132 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2133 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2134 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2135 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2136 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2137 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2138 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2139 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2140 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2141 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2142 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2143 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2144 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2145 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2146 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2147 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2148 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2149 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2150 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2151 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2152 
2153 INST "decoder_inst/compExch_Layer_00_to_01_sites_54_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_54_55";
2154 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_54_55" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2155 
2156 
2157 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/M*" U_SET="uset_compExch_Layer_00_to_01_sites_56_57";
2158 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2159 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2160 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2161 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2162 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2163 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2164 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2165 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2166 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2167 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2168 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2169 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2170 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2171 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2172 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2173 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2174 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2175 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2176 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2177 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2178 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2179 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2180 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2181 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2182 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2183 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2184 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2185 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2186 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2187 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2188 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2189 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2190 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2191 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2192 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2193 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2194 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2195 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2196 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2197 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2198 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2199 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2200 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2201 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2202 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2203 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2204 #INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2205 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2206 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2207 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2208 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2209 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2210 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2211 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2212 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2213 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2214 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2215 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2216 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2217 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2218 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2219 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2220 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2221 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2222 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2223 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2224 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2225 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2226 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2227 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2228 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2229 
2230 INST "decoder_inst/compExch_Layer_00_to_01_sites_56_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_56_57";
2231 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_56_57" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2232 
2233 
2234 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/M*" U_SET="uset_compExch_Layer_00_to_01_sites_58_59";
2235 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2236 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2237 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2238 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2239 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2240 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2241 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2242 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2243 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2244 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2245 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2246 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2247 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2248 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2249 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2250 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2251 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2252 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2253 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2254 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2255 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2256 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2257 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2258 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2259 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2260 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2261 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2262 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2263 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2264 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2265 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2266 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2267 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2268 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2269 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2270 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2271 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2272 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2273 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2274 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2275 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2276 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2277 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2278 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2279 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2280 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2281 #INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2282 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2283 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2284 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2285 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2286 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2287 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2288 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2289 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2290 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2291 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2292 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2293 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2294 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2295 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2296 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2297 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2298 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2299 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2300 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2301 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2302 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2303 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2304 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2305 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2306 
2307 INST "decoder_inst/compExch_Layer_00_to_01_sites_58_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_58_59";
2308 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_58_59" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2309 
2310 
2311 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/M*" U_SET="uset_compExch_Layer_00_to_01_sites_60_61";
2312 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2313 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2314 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2315 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2316 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2317 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2318 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2319 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2320 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2321 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2322 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2323 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2324 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2325 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2326 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2327 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2328 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2329 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2330 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2331 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2332 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2333 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2334 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2335 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2336 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2337 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2338 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2339 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2340 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2341 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2342 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2343 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2344 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2345 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2346 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2347 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2348 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2349 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2350 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2351 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2352 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2353 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2354 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2355 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2356 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2357 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2358 #INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2359 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2360 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2361 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2362 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2363 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2364 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2365 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2366 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2367 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2368 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2369 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2370 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2371 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2372 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2373 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2374 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2375 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2376 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2377 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2378 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2379 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2380 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2381 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2382 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2383 
2384 INST "decoder_inst/compExch_Layer_00_to_01_sites_60_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_60_61";
2385 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_60_61" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2386 
2387 
2388 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/M*" U_SET="uset_compExch_Layer_00_to_01_sites_62_63";
2389 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2390 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2391 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2392 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2393 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2394 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2395 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2396 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2397 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2398 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2399 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2400 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2401 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2402 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2403 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2404 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2405 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2406 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2407 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2408 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2409 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2410 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2411 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2412 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2413 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2414 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2415 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2416 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2417 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2418 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2419 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2420 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2421 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2422 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2423 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2424 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2425 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2426 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2427 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2428 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2429 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2430 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2431 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2432 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2433 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2434 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2435 #INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2436 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2437 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2438 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2439 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2440 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2441 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2442 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2443 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2444 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2445 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2446 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2447 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2448 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2449 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2450 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2451 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2452 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2453 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2454 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2455 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2456 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2457 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2458 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2459 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2460 
2461 INST "decoder_inst/compExch_Layer_00_to_01_sites_62_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_00_to_01_sites_62_63";
2462 AREA_GROUP "AREA_compExch_Layer_00_to_01_sites_62_63" RANGE=SLICE_X140Y2:SLICE_X149Y233;
2463 
2464 
2465 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/M*" U_SET="uset_compExch_Layer_01_to_02_sites_00_02";
2466 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2467 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2468 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2469 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2470 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2471 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2472 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2473 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2474 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2475 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2476 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2477 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2478 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2479 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2480 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2481 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2482 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2483 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2484 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2485 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2486 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2487 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2488 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2489 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2490 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2491 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2492 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2493 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2494 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2495 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2496 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2497 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2498 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2499 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2500 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2501 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2502 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2503 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2504 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2505 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2506 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2507 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2508 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2509 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2510 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2511 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2512 #INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2513 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2514 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2515 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2516 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2517 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2518 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2519 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2520 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2521 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2522 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2523 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2524 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2525 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2526 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2527 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2528 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2529 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2530 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2531 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2532 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2533 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2534 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2535 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2536 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2537 
2538 INST "decoder_inst/compExch_Layer_01_to_02_sites_00_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_00_02";
2539 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_00_02" RANGE=SLICE_X144Y2:SLICE_X153Y233;
2540 
2541 
2542 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/M*" U_SET="uset_compExch_Layer_01_to_02_sites_01_03";
2543 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2544 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2545 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2546 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2547 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2548 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2549 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2550 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2551 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2552 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2553 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2554 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2555 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2556 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2557 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2558 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2559 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2560 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2561 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2562 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2563 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2564 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2565 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2566 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2567 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2568 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2569 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2570 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2571 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2572 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2573 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2574 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2575 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2576 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2577 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2578 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2579 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2580 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2581 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2582 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2583 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2584 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2585 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2586 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2587 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2588 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2589 #INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2590 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2591 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2592 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2593 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2594 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2595 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2596 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2597 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2598 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2599 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2600 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2601 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2602 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2603 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2604 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2605 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2606 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2607 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2608 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2609 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2610 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2611 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2612 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2613 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2614 
2615 INST "decoder_inst/compExch_Layer_01_to_02_sites_01_03/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_01_03";
2616 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_01_03" RANGE=SLICE_X144Y2:SLICE_X153Y233;
2617 
2618 
2619 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/M*" U_SET="uset_compExch_Layer_02_to_03_sites_01_02";
2620 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2621 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2622 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2623 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2624 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2625 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2626 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2627 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2628 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2629 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2630 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2631 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2632 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2633 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2634 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2635 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2636 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2637 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2638 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2639 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2640 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2641 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2642 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2643 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2644 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2645 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2646 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2647 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2648 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2649 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2650 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2651 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2652 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2653 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2654 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2655 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2656 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2657 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2658 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2659 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2660 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2661 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2662 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2663 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2664 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2665 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2666 #INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2667 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2668 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2669 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2670 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2671 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2672 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2673 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2674 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2675 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2676 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2677 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2678 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2679 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2680 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2681 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2682 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2683 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2684 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2685 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2686 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2687 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2688 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2689 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2690 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2691 
2692 INST "decoder_inst/compExch_Layer_02_to_03_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_01_02";
2693 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_01_02" RANGE=SLICE_X148Y2:SLICE_X157Y233;
2694 
2695 
2696 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/M*" U_SET="uset_compExch_Layer_01_to_02_sites_04_06";
2697 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2698 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2699 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2700 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2701 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2702 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2703 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2704 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2705 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2706 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2707 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2708 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2709 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2710 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2711 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2712 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2713 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2714 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2715 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2716 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2717 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2718 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2719 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2720 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2721 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2722 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2723 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2724 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2725 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2726 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2727 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2728 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2729 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2730 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2731 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2732 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2733 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2734 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2735 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2736 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2737 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2738 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2739 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2740 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2741 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2742 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2743 #INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2744 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2745 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2746 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2747 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2748 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2749 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2750 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2751 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2752 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2753 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2754 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2755 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2756 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2757 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2758 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2759 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2760 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2761 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2762 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2763 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2764 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2765 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2766 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2767 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2768 
2769 INST "decoder_inst/compExch_Layer_01_to_02_sites_04_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_04_06";
2770 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_04_06" RANGE=SLICE_X144Y2:SLICE_X153Y233;
2771 
2772 
2773 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/M*" U_SET="uset_compExch_Layer_01_to_02_sites_05_07";
2774 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2775 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2776 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2777 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2778 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2779 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2780 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2781 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2782 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2783 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2784 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2785 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2786 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2787 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2788 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2789 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2790 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2791 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2792 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2793 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2794 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2795 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2796 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2797 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2798 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2799 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2800 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2801 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2802 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2803 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2804 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2805 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2806 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2807 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2808 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2809 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2810 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2811 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2812 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2813 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2814 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2815 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2816 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2817 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2818 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2819 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2820 #INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2821 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2822 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2823 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2824 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2825 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2826 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2827 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2828 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2829 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2830 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2831 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2832 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2833 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2834 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2835 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2836 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2837 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2838 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2839 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2840 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2841 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2842 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2843 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2844 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2845 
2846 INST "decoder_inst/compExch_Layer_01_to_02_sites_05_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_05_07";
2847 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_05_07" RANGE=SLICE_X144Y2:SLICE_X153Y233;
2848 
2849 
2850 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/M*" U_SET="uset_compExch_Layer_02_to_03_sites_05_06";
2851 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2852 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2853 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2854 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2855 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2856 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2857 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2858 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2859 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2860 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2861 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2862 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2863 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2864 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2865 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2866 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2867 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2868 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2869 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2870 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2871 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2872 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2873 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2874 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2875 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2876 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2877 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2878 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2879 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2880 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2881 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2882 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2883 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2884 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2885 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2886 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2887 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2888 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2889 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2890 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2891 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2892 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2893 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2894 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2895 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2896 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2897 #INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2898 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2899 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2900 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2901 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2902 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2903 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2904 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2905 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2906 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2907 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2908 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2909 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2910 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2911 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2912 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2913 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2914 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2915 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2916 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2917 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2918 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2919 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2920 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2921 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2922 
2923 INST "decoder_inst/compExch_Layer_02_to_03_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_05_06";
2924 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_05_06" RANGE=SLICE_X148Y2:SLICE_X157Y233;
2925 
2926 
2927 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/M*" U_SET="uset_compExch_Layer_01_to_02_sites_08_10";
2928 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
2929 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
2930 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
2931 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
2932 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
2933 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
2934 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
2935 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
2936 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
2937 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
2938 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
2939 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
2940 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
2941 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
2942 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
2943 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
2944 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
2945 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
2946 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
2947 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
2948 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
2949 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
2950 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
2951 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
2952 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
2953 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
2954 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
2955 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
2956 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
2957 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
2958 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
2959 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
2960 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
2961 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
2962 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
2963 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
2964 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
2965 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
2966 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
2967 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
2968 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
2969 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
2970 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
2971 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
2972 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
2973 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
2974 #INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
2975 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
2976 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
2977 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
2978 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
2979 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
2980 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
2981 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
2982 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
2983 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
2984 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
2985 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
2986 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
2987 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
2988 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
2989 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
2990 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
2991 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
2992 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
2993 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
2994 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
2995 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
2996 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
2997 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
2998 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
2999 
3000 INST "decoder_inst/compExch_Layer_01_to_02_sites_08_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_08_10";
3001 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_08_10" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3002 
3003 
3004 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/M*" U_SET="uset_compExch_Layer_01_to_02_sites_09_11";
3005 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3006 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3007 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3008 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3009 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3010 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3011 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3012 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3013 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3014 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3015 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3016 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3017 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3018 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3019 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3020 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3021 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3022 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3023 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3024 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3025 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3026 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3027 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3028 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3029 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3030 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3031 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3032 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3033 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3034 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3035 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3036 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3037 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3038 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3039 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3040 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3041 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3042 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3043 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3044 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3045 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3046 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3047 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3048 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3049 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3050 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3051 #INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3052 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3053 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3054 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3055 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3056 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3057 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3058 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3059 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3060 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3061 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3062 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3063 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3064 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3065 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3066 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3067 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3068 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3069 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3070 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3071 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3072 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3073 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3074 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3075 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3076 
3077 INST "decoder_inst/compExch_Layer_01_to_02_sites_09_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_09_11";
3078 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_09_11" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3079 
3080 
3081 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/M*" U_SET="uset_compExch_Layer_02_to_03_sites_09_10";
3082 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3083 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3084 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3085 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3086 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3087 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3088 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3089 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3090 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3091 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3092 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3093 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3094 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3095 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3096 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3097 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3098 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3099 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3100 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3101 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3102 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3103 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3104 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3105 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3106 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3107 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3108 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3109 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3110 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3111 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3112 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3113 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3114 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3115 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3116 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3117 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3118 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3119 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3120 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3121 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3122 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3123 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3124 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3125 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3126 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3127 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3128 #INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3129 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3130 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3131 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3132 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3133 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3134 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3135 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3136 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3137 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3138 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3139 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3140 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3141 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3142 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3143 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3144 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3145 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3146 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3147 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3148 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3149 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3150 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3151 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3152 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3153 
3154 INST "decoder_inst/compExch_Layer_02_to_03_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_09_10";
3155 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_09_10" RANGE=SLICE_X148Y2:SLICE_X157Y233;
3156 
3157 
3158 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/M*" U_SET="uset_compExch_Layer_01_to_02_sites_12_14";
3159 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3160 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3161 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3162 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3163 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3164 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3165 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3166 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3167 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3168 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3169 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3170 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3171 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3172 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3173 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3174 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3175 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3176 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3177 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3178 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3179 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3180 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3181 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3182 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3183 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3184 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3185 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3186 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3187 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3188 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3189 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3190 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3191 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3192 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3193 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3194 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3195 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3196 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3197 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3198 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3199 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3200 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3201 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3202 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3203 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3204 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3205 #INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3206 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3207 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3208 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3209 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3210 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3211 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3212 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3213 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3214 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3215 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3216 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3217 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3218 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3219 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3220 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3221 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3222 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3223 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3224 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3225 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3226 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3227 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3228 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3229 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3230 
3231 INST "decoder_inst/compExch_Layer_01_to_02_sites_12_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_12_14";
3232 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_12_14" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3233 
3234 
3235 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/M*" U_SET="uset_compExch_Layer_01_to_02_sites_13_15";
3236 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3237 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3238 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3239 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3240 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3241 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3242 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3243 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3244 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3245 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3246 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3247 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3248 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3249 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3250 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3251 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3252 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3253 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3254 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3255 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3256 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3257 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3258 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3259 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3260 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3261 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3262 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3263 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3264 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3265 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3266 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3267 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3268 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3269 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3270 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3271 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3272 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3273 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3274 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3275 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3276 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3277 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3278 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3279 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3280 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3281 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3282 #INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3283 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3284 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3285 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3286 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3287 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3288 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3289 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3290 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3291 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3292 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3293 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3294 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3295 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3296 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3297 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3298 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3299 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3300 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3301 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3302 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3303 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3304 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3305 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3306 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3307 
3308 INST "decoder_inst/compExch_Layer_01_to_02_sites_13_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_13_15";
3309 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_13_15" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3310 
3311 
3312 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/M*" U_SET="uset_compExch_Layer_02_to_03_sites_13_14";
3313 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3314 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3315 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3316 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3317 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3318 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3319 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3320 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3321 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3322 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3323 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3324 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3325 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3326 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3327 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3328 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3329 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3330 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3331 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3332 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3333 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3334 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3335 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3336 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3337 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3338 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3339 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3340 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3341 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3342 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3343 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3344 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3345 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3346 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3347 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3348 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3349 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3350 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3351 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3352 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3353 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3354 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3355 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3356 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3357 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3358 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3359 #INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3360 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3361 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3362 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3363 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3364 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3365 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3366 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3367 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3368 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3369 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3370 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3371 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3372 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3373 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3374 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3375 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3376 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3377 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3378 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3379 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3380 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3381 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3382 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3383 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3384 
3385 INST "decoder_inst/compExch_Layer_02_to_03_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_13_14";
3386 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_13_14" RANGE=SLICE_X148Y2:SLICE_X157Y233;
3387 
3388 
3389 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/M*" U_SET="uset_compExch_Layer_01_to_02_sites_16_18";
3390 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3391 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3392 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3393 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3394 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3395 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3396 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3397 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3398 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3399 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3400 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3401 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3402 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3403 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3404 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3405 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3406 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3407 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3408 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3409 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3410 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3411 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3412 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3413 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3414 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3415 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3416 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3417 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3418 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3419 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3420 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3421 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3422 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3423 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3424 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3425 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3426 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3427 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3428 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3429 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3430 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3431 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3432 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3433 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3434 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3435 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3436 #INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3437 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3438 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3439 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3440 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3441 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3442 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3443 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3444 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3445 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3446 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3447 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3448 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3449 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3450 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3451 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3452 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3453 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3454 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3455 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3456 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3457 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3458 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3459 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3460 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3461 
3462 INST "decoder_inst/compExch_Layer_01_to_02_sites_16_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_16_18";
3463 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_16_18" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3464 
3465 
3466 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/M*" U_SET="uset_compExch_Layer_01_to_02_sites_17_19";
3467 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3468 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3469 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3470 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3471 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3472 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3473 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3474 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3475 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3476 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3477 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3478 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3479 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3480 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3481 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3482 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3483 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3484 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3485 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3486 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3487 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3488 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3489 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3490 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3491 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3492 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3493 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3494 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3495 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3496 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3497 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3498 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3499 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3500 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3501 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3502 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3503 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3504 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3505 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3506 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3507 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3508 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3509 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3510 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3511 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3512 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3513 #INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3514 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3515 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3516 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3517 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3518 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3519 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3520 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3521 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3522 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3523 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3524 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3525 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3526 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3527 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3528 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3529 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3530 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3531 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3532 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3533 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3534 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3535 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3536 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3537 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3538 
3539 INST "decoder_inst/compExch_Layer_01_to_02_sites_17_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_17_19";
3540 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_17_19" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3541 
3542 
3543 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/M*" U_SET="uset_compExch_Layer_02_to_03_sites_17_18";
3544 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3545 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3546 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3547 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3548 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3549 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3550 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3551 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3552 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3553 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3554 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3555 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3556 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3557 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3558 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3559 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3560 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3561 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3562 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3563 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3564 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3565 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3566 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3567 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3568 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3569 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3570 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3571 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3572 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3573 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3574 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3575 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3576 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3577 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3578 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3579 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3580 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3581 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3582 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3583 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3584 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3585 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3586 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3587 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3588 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3589 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3590 #INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3591 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3592 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3593 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3594 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3595 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3596 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3597 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3598 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3599 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3600 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3601 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3602 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3603 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3604 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3605 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3606 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3607 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3608 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3609 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3610 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3611 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3612 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3613 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3614 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3615 
3616 INST "decoder_inst/compExch_Layer_02_to_03_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_17_18";
3617 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_17_18" RANGE=SLICE_X148Y2:SLICE_X157Y233;
3618 
3619 
3620 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/M*" U_SET="uset_compExch_Layer_01_to_02_sites_20_22";
3621 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3622 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3623 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3624 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3625 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3626 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3627 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3628 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3629 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3630 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3631 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3632 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3633 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3634 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3635 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3636 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3637 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3638 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3639 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3640 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3641 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3642 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3643 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3644 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3645 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3646 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3647 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3648 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3649 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3650 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3651 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3652 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3653 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3654 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3655 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3656 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3657 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3658 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3659 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3660 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3661 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3662 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3663 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3664 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3665 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3666 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3667 #INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3668 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3669 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3670 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3671 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3672 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3673 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3674 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3675 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3676 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3677 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3678 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3679 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3680 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3681 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3682 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3683 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3684 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3685 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3686 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3687 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3688 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3689 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3690 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3691 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3692 
3693 INST "decoder_inst/compExch_Layer_01_to_02_sites_20_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_20_22";
3694 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_20_22" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3695 
3696 
3697 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/M*" U_SET="uset_compExch_Layer_01_to_02_sites_21_23";
3698 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3699 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3700 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3701 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3702 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3703 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3704 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3705 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3706 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3707 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3708 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3709 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3710 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3711 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3712 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3713 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3714 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3715 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3716 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3717 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3718 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3719 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3720 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3721 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3722 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3723 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3724 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3725 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3726 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3727 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3728 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3729 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3730 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3731 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3732 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3733 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3734 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3735 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3736 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3737 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3738 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3739 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3740 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3741 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3742 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3743 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3744 #INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3745 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3746 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3747 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3748 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3749 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3750 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3751 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3752 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3753 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3754 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3755 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3756 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3757 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3758 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3759 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3760 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3761 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3762 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3763 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3764 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3765 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3766 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3767 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3768 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3769 
3770 INST "decoder_inst/compExch_Layer_01_to_02_sites_21_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_21_23";
3771 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_21_23" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3772 
3773 
3774 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/M*" U_SET="uset_compExch_Layer_02_to_03_sites_21_22";
3775 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3776 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3777 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3778 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3779 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3780 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3781 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3782 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3783 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3784 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3785 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3786 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3787 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3788 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3789 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3790 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3791 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3792 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3793 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3794 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3795 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3796 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3797 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3798 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3799 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3800 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3801 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3802 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3803 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3804 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3805 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3806 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3807 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3808 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3809 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3810 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3811 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3812 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3813 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3814 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3815 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3816 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3817 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3818 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3819 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3820 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3821 #INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3822 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3823 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3824 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3825 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3826 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3827 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3828 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3829 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3830 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3831 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3832 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3833 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3834 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3835 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3836 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3837 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3838 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3839 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3840 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3841 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3842 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3843 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3844 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3845 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3846 
3847 INST "decoder_inst/compExch_Layer_02_to_03_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_21_22";
3848 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_21_22" RANGE=SLICE_X148Y2:SLICE_X157Y233;
3849 
3850 
3851 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/M*" U_SET="uset_compExch_Layer_01_to_02_sites_24_26";
3852 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3853 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3854 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3855 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3856 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3857 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3858 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3859 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3860 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3861 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3862 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3863 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3864 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3865 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3866 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3867 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3868 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3869 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3870 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3871 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3872 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3873 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3874 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3875 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3876 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3877 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3878 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3879 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3880 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3881 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3882 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3883 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3884 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3885 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3886 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3887 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3888 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3889 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3890 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3891 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3892 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3893 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3894 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3895 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3896 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3897 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3898 #INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3899 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3900 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3901 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3902 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3903 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3904 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3905 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3906 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3907 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3908 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3909 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3910 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3911 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3912 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3913 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3914 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3915 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3916 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3917 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3918 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3919 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3920 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3921 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3922 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
3923 
3924 INST "decoder_inst/compExch_Layer_01_to_02_sites_24_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_24_26";
3925 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_24_26" RANGE=SLICE_X144Y2:SLICE_X153Y233;
3926 
3927 
3928 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/M*" U_SET="uset_compExch_Layer_01_to_02_sites_25_27";
3929 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
3930 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
3931 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
3932 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
3933 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
3934 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
3935 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
3936 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
3937 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
3938 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
3939 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
3940 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
3941 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
3942 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
3943 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
3944 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
3945 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
3946 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
3947 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
3948 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
3949 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
3950 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
3951 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
3952 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
3953 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
3954 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
3955 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
3956 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
3957 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
3958 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
3959 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
3960 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
3961 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
3962 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
3963 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
3964 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
3965 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
3966 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
3967 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
3968 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
3969 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
3970 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
3971 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
3972 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
3973 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
3974 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
3975 #INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
3976 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
3977 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
3978 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
3979 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
3980 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
3981 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
3982 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
3983 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
3984 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
3985 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
3986 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
3987 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
3988 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
3989 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
3990 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
3991 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
3992 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
3993 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
3994 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
3995 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
3996 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
3997 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
3998 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
3999 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4000 
4001 INST "decoder_inst/compExch_Layer_01_to_02_sites_25_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_25_27";
4002 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_25_27" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4003 
4004 
4005 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/M*" U_SET="uset_compExch_Layer_02_to_03_sites_25_26";
4006 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4007 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4008 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4009 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4010 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4011 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4012 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4013 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4014 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4015 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4016 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4017 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4018 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4019 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4020 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4021 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4022 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4023 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4024 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4025 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4026 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4027 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4028 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4029 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4030 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4031 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4032 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4033 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4034 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4035 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4036 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4037 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4038 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4039 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4040 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4041 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4042 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4043 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4044 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4045 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4046 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4047 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4048 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4049 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4050 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4051 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4052 #INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4053 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4054 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4055 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4056 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4057 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4058 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4059 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4060 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4061 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4062 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4063 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4064 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4065 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4066 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4067 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4068 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4069 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4070 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4071 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4072 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4073 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4074 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4075 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4076 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4077 
4078 INST "decoder_inst/compExch_Layer_02_to_03_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_25_26";
4079 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_25_26" RANGE=SLICE_X148Y2:SLICE_X157Y233;
4080 
4081 
4082 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/M*" U_SET="uset_compExch_Layer_01_to_02_sites_28_30";
4083 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4084 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4085 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4086 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4087 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4088 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4089 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4090 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4091 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4092 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4093 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4094 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4095 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4096 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4097 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4098 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4099 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4100 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4101 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4102 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4103 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4104 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4105 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4106 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4107 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4108 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4109 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4110 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4111 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4112 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4113 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4114 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4115 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4116 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4117 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4118 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4119 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4120 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4121 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4122 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4123 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4124 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4125 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4126 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4127 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4128 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4129 #INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4130 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4131 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4132 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4133 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4134 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4135 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4136 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4137 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4138 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4139 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4140 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4141 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4142 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4143 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4144 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4145 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4146 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4147 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4148 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4149 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4150 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4151 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4152 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4153 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4154 
4155 INST "decoder_inst/compExch_Layer_01_to_02_sites_28_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_28_30";
4156 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_28_30" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4157 
4158 
4159 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/M*" U_SET="uset_compExch_Layer_01_to_02_sites_29_31";
4160 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4161 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4162 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4163 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4164 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4165 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4166 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4167 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4168 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4169 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4170 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4171 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4172 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4173 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4174 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4175 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4176 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4177 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4178 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4179 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4180 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4181 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4182 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4183 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4184 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4185 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4186 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4187 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4188 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4189 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4190 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4191 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4192 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4193 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4194 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4195 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4196 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4197 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4198 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4199 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4200 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4201 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4202 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4203 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4204 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4205 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4206 #INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4207 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4208 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4209 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4210 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4211 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4212 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4213 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4214 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4215 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4216 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4217 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4218 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4219 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4220 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4221 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4222 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4223 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4224 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4225 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4226 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4227 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4228 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4229 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4230 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4231 
4232 INST "decoder_inst/compExch_Layer_01_to_02_sites_29_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_29_31";
4233 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_29_31" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4234 
4235 
4236 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/M*" U_SET="uset_compExch_Layer_02_to_03_sites_29_30";
4237 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4238 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4239 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4240 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4241 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4242 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4243 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4244 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4245 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4246 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4247 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4248 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4249 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4250 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4251 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4252 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4253 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4254 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4255 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4256 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4257 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4258 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4259 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4260 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4261 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4262 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4263 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4264 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4265 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4266 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4267 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4268 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4269 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4270 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4271 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4272 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4273 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4274 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4275 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4276 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4277 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4278 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4279 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4280 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4281 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4282 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4283 #INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4284 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4285 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4286 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4287 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4288 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4289 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4290 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4291 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4292 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4293 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4294 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4295 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4296 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4297 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4298 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4299 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4300 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4301 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4302 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4303 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4304 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4305 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4306 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4307 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4308 
4309 INST "decoder_inst/compExch_Layer_02_to_03_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_29_30";
4310 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_29_30" RANGE=SLICE_X148Y2:SLICE_X157Y233;
4311 
4312 
4313 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/M*" U_SET="uset_compExch_Layer_01_to_02_sites_32_34";
4314 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4315 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4316 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4317 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4318 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4319 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4320 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4321 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4322 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4323 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4324 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4325 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4326 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4327 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4328 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4329 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4330 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4331 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4332 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4333 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4334 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4335 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4336 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4337 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4338 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4339 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4340 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4341 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4342 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4343 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4344 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4345 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4346 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4347 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4348 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4349 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4350 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4351 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4352 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4353 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4354 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4355 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4356 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4357 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4358 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4359 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4360 #INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4361 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4362 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4363 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4364 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4365 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4366 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4367 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4368 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4369 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4370 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4371 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4372 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4373 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4374 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4375 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4376 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4377 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4378 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4379 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4380 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4381 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4382 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4383 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4384 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4385 
4386 INST "decoder_inst/compExch_Layer_01_to_02_sites_32_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_32_34";
4387 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_32_34" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4388 
4389 
4390 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/M*" U_SET="uset_compExch_Layer_01_to_02_sites_33_35";
4391 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4392 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4393 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4394 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4395 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4396 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4397 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4398 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4399 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4400 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4401 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4402 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4403 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4404 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4405 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4406 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4407 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4408 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4409 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4410 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4411 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4412 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4413 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4414 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4415 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4416 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4417 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4418 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4419 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4420 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4421 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4422 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4423 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4424 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4425 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4426 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4427 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4428 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4429 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4430 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4431 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4432 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4433 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4434 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4435 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4436 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4437 #INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4438 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4439 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4440 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4441 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4442 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4443 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4444 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4445 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4446 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4447 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4448 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4449 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4450 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4451 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4452 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4453 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4454 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4455 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4456 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4457 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4458 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4459 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4460 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4461 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4462 
4463 INST "decoder_inst/compExch_Layer_01_to_02_sites_33_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_33_35";
4464 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_33_35" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4465 
4466 
4467 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/M*" U_SET="uset_compExch_Layer_02_to_03_sites_33_34";
4468 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4469 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4470 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4471 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4472 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4473 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4474 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4475 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4476 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4477 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4478 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4479 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4480 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4481 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4482 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4483 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4484 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4485 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4486 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4487 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4488 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4489 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4490 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4491 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4492 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4493 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4494 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4495 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4496 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4497 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4498 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4499 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4500 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4501 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4502 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4503 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4504 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4505 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4506 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4507 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4508 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4509 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4510 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4511 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4512 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4513 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4514 #INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4515 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4516 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4517 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4518 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4519 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4520 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4521 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4522 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4523 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4524 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4525 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4526 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4527 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4528 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4529 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4530 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4531 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4532 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4533 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4534 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4535 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4536 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4537 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4538 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4539 
4540 INST "decoder_inst/compExch_Layer_02_to_03_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_33_34";
4541 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_33_34" RANGE=SLICE_X148Y2:SLICE_X157Y233;
4542 
4543 
4544 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/M*" U_SET="uset_compExch_Layer_01_to_02_sites_36_38";
4545 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4546 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4547 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4548 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4549 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4550 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4551 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4552 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4553 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4554 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4555 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4556 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4557 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4558 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4559 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4560 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4561 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4562 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4563 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4564 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4565 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4566 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4567 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4568 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4569 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4570 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4571 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4572 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4573 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4574 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4575 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4576 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4577 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4578 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4579 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4580 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4581 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4582 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4583 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4584 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4585 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4586 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4587 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4588 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4589 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4590 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4591 #INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4592 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4593 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4594 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4595 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4596 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4597 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4598 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4599 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4600 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4601 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4602 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4603 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4604 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4605 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4606 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4607 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4608 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4609 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4610 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4611 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4612 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4613 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4614 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4615 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4616 
4617 INST "decoder_inst/compExch_Layer_01_to_02_sites_36_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_36_38";
4618 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_36_38" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4619 
4620 
4621 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/M*" U_SET="uset_compExch_Layer_01_to_02_sites_37_39";
4622 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4623 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4624 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4625 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4626 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4627 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4628 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4629 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4630 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4631 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4632 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4633 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4634 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4635 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4636 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4637 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4638 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4639 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4640 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4641 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4642 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4643 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4644 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4645 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4646 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4647 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4648 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4649 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4650 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4651 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4652 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4653 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4654 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4655 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4656 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4657 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4658 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4659 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4660 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4661 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4662 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4663 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4664 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4665 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4666 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4667 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4668 #INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4669 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4670 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4671 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4672 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4673 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4674 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4675 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4676 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4677 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4678 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4679 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4680 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4681 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4682 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4683 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4684 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4685 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4686 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4687 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4688 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4689 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4690 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4691 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4692 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4693 
4694 INST "decoder_inst/compExch_Layer_01_to_02_sites_37_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_37_39";
4695 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_37_39" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4696 
4697 
4698 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/M*" U_SET="uset_compExch_Layer_02_to_03_sites_37_38";
4699 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4700 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4701 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4702 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4703 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4704 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4705 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4706 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4707 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4708 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4709 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4710 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4711 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4712 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4713 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4714 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4715 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4716 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4717 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4718 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4719 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4720 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4721 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4722 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4723 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4724 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4725 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4726 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4727 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4728 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4729 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4730 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4731 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4732 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4733 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4734 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4735 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4736 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4737 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4738 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4739 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4740 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4741 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4742 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4743 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4744 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4745 #INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4746 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4747 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4748 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4749 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4750 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4751 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4752 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4753 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4754 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4755 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4756 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4757 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4758 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4759 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4760 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4761 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4762 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4763 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4764 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4765 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4766 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4767 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4768 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4769 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4770 
4771 INST "decoder_inst/compExch_Layer_02_to_03_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_37_38";
4772 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_37_38" RANGE=SLICE_X148Y2:SLICE_X157Y233;
4773 
4774 
4775 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/M*" U_SET="uset_compExch_Layer_01_to_02_sites_40_42";
4776 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4777 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4778 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4779 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4780 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4781 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4782 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4783 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4784 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4785 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4786 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4787 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4788 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4789 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4790 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4791 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4792 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4793 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4794 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4795 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4796 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4797 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4798 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4799 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4800 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4801 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4802 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4803 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4804 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4805 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4806 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4807 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4808 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4809 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4810 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4811 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4812 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4813 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4814 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4815 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4816 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4817 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4818 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4819 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4820 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4821 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4822 #INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4823 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4824 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4825 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4826 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4827 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4828 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4829 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4830 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4831 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4832 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4833 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4834 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4835 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4836 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4837 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4838 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4839 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4840 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4841 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4842 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4843 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4844 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4845 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4846 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4847 
4848 INST "decoder_inst/compExch_Layer_01_to_02_sites_40_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_40_42";
4849 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_40_42" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4850 
4851 
4852 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/M*" U_SET="uset_compExch_Layer_01_to_02_sites_41_43";
4853 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4854 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4855 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4856 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4857 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4858 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4859 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4860 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4861 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4862 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4863 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4864 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4865 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4866 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4867 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4868 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4869 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4870 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4871 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4872 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4873 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4874 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4875 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4876 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4877 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4878 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4879 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4880 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4881 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4882 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4883 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4884 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4885 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4886 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4887 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4888 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4889 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4890 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4891 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4892 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4893 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4894 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4895 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4896 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4897 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4898 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4899 #INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4900 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4901 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4902 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4903 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4904 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4905 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4906 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4907 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4908 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4909 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4910 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4911 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4912 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4913 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4914 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4915 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4916 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4917 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4918 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4919 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4920 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4921 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4922 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
4923 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
4924 
4925 INST "decoder_inst/compExch_Layer_01_to_02_sites_41_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_41_43";
4926 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_41_43" RANGE=SLICE_X144Y2:SLICE_X153Y233;
4927 
4928 
4929 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/M*" U_SET="uset_compExch_Layer_02_to_03_sites_41_42";
4930 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
4931 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
4932 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
4933 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
4934 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
4935 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
4936 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
4937 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
4938 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
4939 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
4940 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
4941 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
4942 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
4943 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
4944 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
4945 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
4946 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
4947 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
4948 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
4949 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
4950 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
4951 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
4952 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
4953 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
4954 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
4955 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
4956 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
4957 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
4958 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
4959 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
4960 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
4961 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
4962 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
4963 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
4964 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
4965 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
4966 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
4967 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
4968 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
4969 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
4970 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
4971 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
4972 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
4973 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
4974 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
4975 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
4976 #INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
4977 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
4978 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
4979 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
4980 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
4981 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
4982 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
4983 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
4984 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
4985 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
4986 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
4987 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
4988 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
4989 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
4990 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
4991 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
4992 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
4993 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
4994 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
4995 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
4996 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
4997 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
4998 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
4999 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5000 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5001 
5002 INST "decoder_inst/compExch_Layer_02_to_03_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_41_42";
5003 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_41_42" RANGE=SLICE_X148Y2:SLICE_X157Y233;
5004 
5005 
5006 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/M*" U_SET="uset_compExch_Layer_01_to_02_sites_44_46";
5007 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5008 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5009 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5010 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5011 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5012 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5013 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5014 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5015 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5016 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5017 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5018 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5019 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5020 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5021 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5022 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5023 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5024 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5025 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5026 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5027 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5028 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5029 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5030 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5031 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5032 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5033 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5034 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5035 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5036 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5037 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5038 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5039 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5040 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5041 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5042 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5043 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5044 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5045 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5046 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5047 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5048 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5049 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5050 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5051 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5052 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5053 #INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5054 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5055 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5056 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5057 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5058 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5059 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5060 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5061 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5062 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5063 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5064 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5065 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5066 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5067 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5068 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5069 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5070 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5071 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5072 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5073 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5074 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5075 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5076 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5077 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5078 
5079 INST "decoder_inst/compExch_Layer_01_to_02_sites_44_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_44_46";
5080 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_44_46" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5081 
5082 
5083 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/M*" U_SET="uset_compExch_Layer_01_to_02_sites_45_47";
5084 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5085 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5086 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5087 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5088 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5089 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5090 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5091 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5092 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5093 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5094 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5095 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5096 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5097 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5098 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5099 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5100 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5101 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5102 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5103 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5104 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5105 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5106 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5107 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5108 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5109 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5110 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5111 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5112 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5113 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5114 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5115 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5116 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5117 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5118 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5119 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5120 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5121 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5122 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5123 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5124 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5125 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5126 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5127 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5128 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5129 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5130 #INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5131 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5132 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5133 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5134 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5135 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5136 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5137 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5138 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5139 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5140 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5141 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5142 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5143 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5144 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5145 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5146 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5147 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5148 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5149 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5150 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5151 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5152 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5153 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5154 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5155 
5156 INST "decoder_inst/compExch_Layer_01_to_02_sites_45_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_45_47";
5157 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_45_47" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5158 
5159 
5160 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/M*" U_SET="uset_compExch_Layer_02_to_03_sites_45_46";
5161 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5162 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5163 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5164 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5165 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5166 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5167 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5168 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5169 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5170 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5171 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5172 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5173 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5174 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5175 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5176 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5177 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5178 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5179 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5180 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5181 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5182 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5183 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5184 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5185 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5186 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5187 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5188 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5189 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5190 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5191 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5192 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5193 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5194 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5195 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5196 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5197 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5198 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5199 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5200 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5201 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5202 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5203 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5204 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5205 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5206 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5207 #INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5208 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5209 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5210 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5211 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5212 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5213 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5214 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5215 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5216 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5217 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5218 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5219 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5220 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5221 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5222 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5223 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5224 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5225 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5226 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5227 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5228 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5229 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5230 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5231 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5232 
5233 INST "decoder_inst/compExch_Layer_02_to_03_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_45_46";
5234 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_45_46" RANGE=SLICE_X148Y2:SLICE_X157Y233;
5235 
5236 
5237 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/M*" U_SET="uset_compExch_Layer_01_to_02_sites_48_50";
5238 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5239 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5240 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5241 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5242 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5243 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5244 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5245 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5246 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5247 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5248 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5249 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5250 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5251 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5252 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5253 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5254 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5255 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5256 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5257 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5258 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5259 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5260 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5261 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5262 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5263 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5264 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5265 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5266 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5267 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5268 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5269 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5270 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5271 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5272 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5273 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5274 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5275 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5276 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5277 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5278 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5279 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5280 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5281 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5282 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5283 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5284 #INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5285 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5286 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5287 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5288 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5289 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5290 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5291 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5292 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5293 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5294 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5295 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5296 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5297 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5298 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5299 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5300 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5301 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5302 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5303 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5304 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5305 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5306 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5307 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5308 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5309 
5310 INST "decoder_inst/compExch_Layer_01_to_02_sites_48_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_48_50";
5311 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_48_50" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5312 
5313 
5314 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/M*" U_SET="uset_compExch_Layer_01_to_02_sites_49_51";
5315 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5316 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5317 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5318 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5319 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5320 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5321 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5322 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5323 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5324 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5325 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5326 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5327 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5328 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5329 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5330 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5331 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5332 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5333 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5334 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5335 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5336 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5337 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5338 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5339 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5340 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5341 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5342 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5343 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5344 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5345 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5346 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5347 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5348 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5349 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5350 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5351 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5352 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5353 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5354 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5355 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5356 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5357 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5358 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5359 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5360 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5361 #INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5362 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5363 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5364 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5365 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5366 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5367 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5368 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5369 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5370 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5371 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5372 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5373 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5374 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5375 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5376 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5377 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5378 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5379 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5380 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5381 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5382 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5383 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5384 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5385 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5386 
5387 INST "decoder_inst/compExch_Layer_01_to_02_sites_49_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_49_51";
5388 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_49_51" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5389 
5390 
5391 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/M*" U_SET="uset_compExch_Layer_02_to_03_sites_49_50";
5392 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5393 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5394 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5395 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5396 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5397 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5398 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5399 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5400 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5401 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5402 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5403 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5404 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5405 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5406 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5407 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5408 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5409 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5410 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5411 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5412 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5413 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5414 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5415 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5416 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5417 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5418 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5419 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5420 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5421 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5422 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5423 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5424 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5425 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5426 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5427 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5428 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5429 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5430 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5431 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5432 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5433 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5434 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5435 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5436 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5437 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5438 #INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5439 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5440 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5441 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5442 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5443 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5444 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5445 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5446 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5447 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5448 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5449 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5450 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5451 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5452 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5453 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5454 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5455 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5456 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5457 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5458 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5459 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5460 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5461 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5462 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5463 
5464 INST "decoder_inst/compExch_Layer_02_to_03_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_49_50";
5465 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_49_50" RANGE=SLICE_X148Y2:SLICE_X157Y233;
5466 
5467 
5468 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/M*" U_SET="uset_compExch_Layer_01_to_02_sites_52_54";
5469 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5470 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5471 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5472 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5473 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5474 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5475 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5476 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5477 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5478 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5479 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5480 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5481 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5482 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5483 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5484 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5485 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5486 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5487 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5488 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5489 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5490 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5491 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5492 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5493 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5494 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5495 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5496 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5497 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5498 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5499 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5500 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5501 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5502 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5503 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5504 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5505 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5506 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5507 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5508 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5509 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5510 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5511 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5512 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5513 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5514 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5515 #INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5516 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5517 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5518 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5519 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5520 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5521 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5522 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5523 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5524 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5525 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5526 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5527 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5528 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5529 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5530 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5531 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5532 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5533 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5534 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5535 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5536 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5537 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5538 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5539 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5540 
5541 INST "decoder_inst/compExch_Layer_01_to_02_sites_52_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_52_54";
5542 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_52_54" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5543 
5544 
5545 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/M*" U_SET="uset_compExch_Layer_01_to_02_sites_53_55";
5546 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5547 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5548 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5549 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5550 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5551 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5552 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5553 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5554 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5555 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5556 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5557 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5558 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5559 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5560 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5561 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5562 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5563 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5564 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5565 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5566 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5567 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5568 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5569 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5570 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5571 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5572 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5573 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5574 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5575 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5576 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5577 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5578 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5579 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5580 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5581 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5582 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5583 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5584 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5585 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5586 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5587 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5588 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5589 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5590 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5591 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5592 #INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5593 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5594 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5595 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5596 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5597 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5598 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5599 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5600 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5601 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5602 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5603 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5604 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5605 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5606 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5607 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5608 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5609 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5610 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5611 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5612 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5613 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5614 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5615 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5616 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5617 
5618 INST "decoder_inst/compExch_Layer_01_to_02_sites_53_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_53_55";
5619 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_53_55" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5620 
5621 
5622 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/M*" U_SET="uset_compExch_Layer_02_to_03_sites_53_54";
5623 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5624 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5625 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5626 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5627 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5628 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5629 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5630 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5631 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5632 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5633 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5634 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5635 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5636 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5637 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5638 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5639 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5640 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5641 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5642 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5643 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5644 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5645 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5646 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5647 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5648 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5649 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5650 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5651 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5652 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5653 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5654 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5655 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5656 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5657 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5658 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5659 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5660 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5661 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5662 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5663 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5664 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5665 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5666 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5667 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5668 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5669 #INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5670 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5671 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5672 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5673 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5674 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5675 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5676 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5677 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5678 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5679 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5680 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5681 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5682 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5683 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5684 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5685 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5686 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5687 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5688 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5689 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5690 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5691 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5692 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5693 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5694 
5695 INST "decoder_inst/compExch_Layer_02_to_03_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_53_54";
5696 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_53_54" RANGE=SLICE_X148Y2:SLICE_X157Y233;
5697 
5698 
5699 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/M*" U_SET="uset_compExch_Layer_01_to_02_sites_56_58";
5700 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5701 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5702 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5703 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5704 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5705 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5706 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5707 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5708 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5709 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5710 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5711 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5712 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5713 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5714 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5715 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5716 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5717 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5718 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5719 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5720 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5721 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5722 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5723 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5724 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5725 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5726 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5727 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5728 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5729 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5730 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5731 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5732 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5733 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5734 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5735 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5736 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5737 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5738 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5739 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5740 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5741 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5742 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5743 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5744 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5745 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5746 #INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5747 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5748 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5749 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5750 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5751 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5752 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5753 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5754 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5755 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5756 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5757 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5758 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5759 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5760 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5761 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5762 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5763 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5764 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5765 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5766 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5767 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5768 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5769 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5770 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5771 
5772 INST "decoder_inst/compExch_Layer_01_to_02_sites_56_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_56_58";
5773 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_56_58" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5774 
5775 
5776 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/M*" U_SET="uset_compExch_Layer_01_to_02_sites_57_59";
5777 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5778 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5779 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5780 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5781 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5782 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5783 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5784 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5785 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5786 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5787 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5788 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5789 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5790 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5791 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5792 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5793 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5794 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5795 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5796 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5797 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5798 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5799 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5800 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5801 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5802 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5803 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5804 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5805 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5806 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5807 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5808 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5809 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5810 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5811 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5812 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5813 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5814 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5815 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5816 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5817 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5818 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5819 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5820 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5821 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5822 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5823 #INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5824 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5825 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5826 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5827 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5828 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5829 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5830 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5831 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5832 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5833 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5834 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5835 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5836 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5837 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5838 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5839 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5840 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5841 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5842 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5843 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5844 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5845 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5846 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5847 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5848 
5849 INST "decoder_inst/compExch_Layer_01_to_02_sites_57_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_57_59";
5850 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_57_59" RANGE=SLICE_X144Y2:SLICE_X153Y233;
5851 
5852 
5853 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/M*" U_SET="uset_compExch_Layer_02_to_03_sites_57_58";
5854 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5855 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5856 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5857 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5858 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5859 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5860 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5861 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5862 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5863 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5864 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5865 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5866 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5867 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5868 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5869 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5870 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5871 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5872 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5873 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5874 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5875 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5876 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5877 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5878 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5879 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5880 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5881 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5882 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5883 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5884 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5885 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5886 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5887 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5888 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5889 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5890 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5891 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5892 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5893 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5894 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5895 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5896 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5897 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5898 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5899 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5900 #INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5901 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5902 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5903 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5904 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5905 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5906 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5907 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5908 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5909 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5910 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5911 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5912 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5913 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5914 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5915 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5916 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5917 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5918 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5919 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5920 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5921 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5922 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
5923 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
5924 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
5925 
5926 INST "decoder_inst/compExch_Layer_02_to_03_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_57_58";
5927 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_57_58" RANGE=SLICE_X148Y2:SLICE_X157Y233;
5928 
5929 
5930 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/M*" U_SET="uset_compExch_Layer_01_to_02_sites_60_62";
5931 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
5932 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
5933 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
5934 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
5935 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
5936 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
5937 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
5938 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
5939 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
5940 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
5941 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
5942 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
5943 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
5944 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
5945 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
5946 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
5947 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
5948 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
5949 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
5950 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
5951 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
5952 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
5953 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
5954 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
5955 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
5956 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
5957 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
5958 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
5959 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
5960 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
5961 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
5962 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
5963 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
5964 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
5965 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
5966 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
5967 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
5968 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
5969 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
5970 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
5971 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
5972 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
5973 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
5974 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
5975 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
5976 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
5977 #INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
5978 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
5979 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
5980 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
5981 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
5982 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
5983 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
5984 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
5985 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
5986 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
5987 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
5988 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
5989 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
5990 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
5991 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
5992 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
5993 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
5994 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
5995 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
5996 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
5997 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
5998 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
5999 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6000 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6001 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6002 
6003 INST "decoder_inst/compExch_Layer_01_to_02_sites_60_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_60_62";
6004 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_60_62" RANGE=SLICE_X144Y2:SLICE_X153Y233;
6005 
6006 
6007 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/M*" U_SET="uset_compExch_Layer_01_to_02_sites_61_63";
6008 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6009 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6010 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6011 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6012 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6013 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6014 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6015 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6016 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6017 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6018 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6019 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6020 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6021 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6022 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6023 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6024 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6025 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6026 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6027 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6028 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6029 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6030 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6031 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6032 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6033 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6034 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6035 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6036 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6037 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6038 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6039 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6040 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6041 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6042 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6043 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6044 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6045 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6046 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6047 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6048 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6049 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6050 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6051 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6052 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6053 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6054 #INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6055 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6056 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6057 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6058 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6059 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6060 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6061 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6062 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6063 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6064 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6065 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6066 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6067 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6068 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6069 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6070 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6071 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6072 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6073 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6074 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6075 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6076 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6077 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6078 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6079 
6080 INST "decoder_inst/compExch_Layer_01_to_02_sites_61_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_01_to_02_sites_61_63";
6081 AREA_GROUP "AREA_compExch_Layer_01_to_02_sites_61_63" RANGE=SLICE_X144Y2:SLICE_X153Y233;
6082 
6083 
6084 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/M*" U_SET="uset_compExch_Layer_02_to_03_sites_61_62";
6085 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6086 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6087 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6088 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6089 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6090 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6091 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6092 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6093 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6094 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6095 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6096 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6097 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6098 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6099 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6100 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6101 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6102 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6103 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6104 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6105 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6106 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6107 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6108 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6109 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6110 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6111 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6112 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6113 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6114 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6115 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6116 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6117 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6118 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6119 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6120 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6121 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6122 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6123 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6124 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6125 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6126 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6127 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6128 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6129 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6130 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6131 #INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6132 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6133 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6134 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6135 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6136 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6137 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6138 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6139 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6140 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6141 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6142 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6143 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6144 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6145 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6146 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6147 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6148 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6149 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6150 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6151 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6152 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6153 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6154 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6155 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6156 
6157 INST "decoder_inst/compExch_Layer_02_to_03_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_02_to_03_sites_61_62";
6158 AREA_GROUP "AREA_compExch_Layer_02_to_03_sites_61_62" RANGE=SLICE_X148Y2:SLICE_X157Y233;
6159 
6160 
6161 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/M*" U_SET="uset_compExch_Layer_03_to_04_sites_00_04";
6162 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6163 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6164 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6165 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6166 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6167 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6168 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6169 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6170 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6171 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6172 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6173 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6174 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6175 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6176 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6177 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6178 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6179 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6180 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6181 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6182 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6183 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6184 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6185 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6186 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6187 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6188 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6189 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6190 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6191 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6192 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6193 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6194 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6195 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6196 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6197 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6198 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6199 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6200 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6201 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6202 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6203 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6204 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6205 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6206 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6207 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6208 #INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6209 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6210 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6211 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6212 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6213 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6214 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6215 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6216 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6217 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6218 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6219 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6220 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6221 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6222 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6223 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6224 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6225 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6226 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6227 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6228 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6229 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6230 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6231 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6232 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6233 
6234 INST "decoder_inst/compExch_Layer_03_to_04_sites_00_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_00_04";
6235 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_00_04" RANGE=SLICE_X152Y2:SLICE_X161Y233;
6236 
6237 
6238 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/M*" U_SET="uset_compExch_Layer_03_to_04_sites_01_05";
6239 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6240 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6241 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6242 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6243 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6244 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6245 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6246 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6247 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6248 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6249 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6250 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6251 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6252 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6253 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6254 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6255 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6256 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6257 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6258 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6259 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6260 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6261 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6262 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6263 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6264 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6265 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6266 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6267 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6268 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6269 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6270 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6271 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6272 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6273 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6274 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6275 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6276 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6277 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6278 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6279 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6280 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6281 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6282 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6283 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6284 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6285 #INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6286 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6287 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6288 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6289 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6290 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6291 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6292 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6293 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6294 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6295 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6296 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6297 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6298 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6299 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6300 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6301 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6302 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6303 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6304 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6305 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6306 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6307 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6308 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6309 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6310 
6311 INST "decoder_inst/compExch_Layer_03_to_04_sites_01_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_01_05";
6312 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_01_05" RANGE=SLICE_X152Y2:SLICE_X161Y233;
6313 
6314 
6315 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/M*" U_SET="uset_compExch_Layer_03_to_04_sites_02_06";
6316 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6317 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6318 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6319 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6320 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6321 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6322 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6323 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6324 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6325 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6326 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6327 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6328 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6329 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6330 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6331 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6332 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6333 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6334 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6335 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6336 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6337 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6338 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6339 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6340 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6341 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6342 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6343 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6344 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6345 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6346 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6347 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6348 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6349 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6350 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6351 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6352 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6353 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6354 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6355 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6356 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6357 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6358 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6359 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6360 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6361 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6362 #INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6363 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6364 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6365 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6366 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6367 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6368 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6369 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6370 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6371 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6372 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6373 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6374 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6375 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6376 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6377 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6378 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6379 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6380 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6381 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6382 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6383 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6384 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6385 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6386 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6387 
6388 INST "decoder_inst/compExch_Layer_03_to_04_sites_02_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_02_06";
6389 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_02_06" RANGE=SLICE_X152Y2:SLICE_X161Y233;
6390 
6391 
6392 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/M*" U_SET="uset_compExch_Layer_03_to_04_sites_03_07";
6393 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6394 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6395 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6396 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6397 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6398 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6399 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6400 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6401 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6402 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6403 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6404 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6405 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6406 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6407 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6408 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6409 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6410 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6411 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6412 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6413 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6414 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6415 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6416 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6417 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6418 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6419 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6420 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6421 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6422 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6423 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6424 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6425 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6426 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6427 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6428 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6429 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6430 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6431 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6432 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6433 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6434 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6435 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6436 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6437 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6438 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6439 #INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6440 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6441 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6442 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6443 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6444 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6445 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6446 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6447 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6448 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6449 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6450 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6451 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6452 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6453 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6454 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6455 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6456 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6457 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6458 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6459 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6460 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6461 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6462 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6463 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6464 
6465 INST "decoder_inst/compExch_Layer_03_to_04_sites_03_07/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_03_07";
6466 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_03_07" RANGE=SLICE_X152Y2:SLICE_X161Y233;
6467 
6468 
6469 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/M*" U_SET="uset_compExch_Layer_04_to_05_sites_02_04";
6470 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6471 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6472 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6473 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6474 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6475 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6476 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6477 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6478 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6479 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6480 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6481 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6482 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6483 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6484 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6485 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6486 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6487 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6488 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6489 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6490 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6491 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6492 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6493 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6494 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6495 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6496 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6497 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6498 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6499 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6500 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6501 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6502 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6503 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6504 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6505 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6506 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6507 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6508 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6509 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6510 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6511 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6512 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6513 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6514 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6515 #INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6516 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6517 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6518 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6519 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6520 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6521 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6522 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6523 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6524 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6525 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6526 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6527 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6528 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6529 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6530 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6531 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6532 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6533 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6534 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6535 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6536 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6537 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6538 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6539 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6540 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6541 
6542 INST "decoder_inst/compExch_Layer_04_to_05_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_02_04";
6543 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_02_04" RANGE=SLICE_X156Y2:SLICE_X165Y233;
6544 
6545 
6546 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/M*" U_SET="uset_compExch_Layer_04_to_05_sites_03_05";
6547 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6548 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6549 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6550 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6551 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6552 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6553 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6554 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6555 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6556 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6557 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6558 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6559 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6560 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6561 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6562 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6563 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6564 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6565 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6566 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6567 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6568 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6569 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6570 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6571 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6572 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6573 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6574 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6575 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6576 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6577 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6578 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6579 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6580 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6581 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6582 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6583 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6584 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6585 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6586 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6587 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6588 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6589 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6590 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6591 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6592 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6593 #INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6594 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6595 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6596 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6597 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6598 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6599 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6600 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6601 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6602 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6603 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6604 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6605 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6606 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6607 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6608 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6609 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6610 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6611 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6612 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6613 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6614 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6615 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6616 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6617 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6618 
6619 INST "decoder_inst/compExch_Layer_04_to_05_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_03_05";
6620 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_03_05" RANGE=SLICE_X156Y2:SLICE_X165Y233;
6621 
6622 
6623 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/M*" U_SET="uset_compExch_Layer_05_to_06_sites_01_02";
6624 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6625 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6626 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6627 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6628 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6629 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6630 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6631 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6632 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6633 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6634 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6635 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6636 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6637 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6638 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6639 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6640 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6641 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6642 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6643 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6644 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6645 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6646 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6647 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6648 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6649 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6650 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6651 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6652 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6653 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6654 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6655 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6656 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6657 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6658 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6659 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6660 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6661 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6662 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6663 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6664 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6665 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6666 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6667 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6668 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6669 #INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6670 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6671 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6672 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6673 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6674 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6675 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6676 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6677 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6678 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6679 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6680 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6681 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6682 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6683 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6684 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6685 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6686 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6687 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6688 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6689 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6690 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6691 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6692 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6693 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6694 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6695 
6696 INST "decoder_inst/compExch_Layer_05_to_06_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_01_02";
6697 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_01_02" RANGE=SLICE_X160Y2:SLICE_X169Y233;
6698 
6699 
6700 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/M*" U_SET="uset_compExch_Layer_05_to_06_sites_03_04";
6701 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6702 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6703 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6704 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6705 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6706 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6707 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6708 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6709 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6710 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6711 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6712 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6713 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6714 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6715 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6716 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6717 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6718 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6719 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6720 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6721 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6722 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6723 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6724 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6725 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6726 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6727 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6728 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6729 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6730 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6731 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6732 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6733 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6734 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6735 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6736 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6737 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6738 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6739 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6740 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6741 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6742 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6743 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6744 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6745 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6746 #INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6747 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6748 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6749 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6750 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6751 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6752 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6753 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6754 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6755 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6756 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6757 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6758 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6759 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6760 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6761 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6762 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6763 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6764 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6765 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6766 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6767 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6768 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6769 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6770 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6771 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6772 
6773 INST "decoder_inst/compExch_Layer_05_to_06_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_03_04";
6774 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_03_04" RANGE=SLICE_X160Y2:SLICE_X169Y233;
6775 
6776 
6777 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/M*" U_SET="uset_compExch_Layer_05_to_06_sites_05_06";
6778 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6779 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6780 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6781 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6782 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6783 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6784 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6785 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6786 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6787 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6788 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6789 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6790 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6791 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6792 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6793 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6794 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6795 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6796 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6797 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6798 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6799 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6800 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6801 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6802 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6803 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6804 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6805 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6806 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6807 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6808 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6809 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6810 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6811 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6812 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6813 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6814 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6815 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6816 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6817 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6818 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6819 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6820 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6821 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6822 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6823 #INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6824 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6825 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6826 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6827 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6828 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6829 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6830 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6831 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6832 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6833 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6834 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6835 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6836 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6837 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6838 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6839 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6840 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6841 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6842 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6843 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6844 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6845 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6846 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6847 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6848 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6849 
6850 INST "decoder_inst/compExch_Layer_05_to_06_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_05_06";
6851 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_05_06" RANGE=SLICE_X160Y2:SLICE_X169Y233;
6852 
6853 
6854 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/M*" U_SET="uset_compExch_Layer_03_to_04_sites_08_12";
6855 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6856 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6857 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6858 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6859 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6860 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6861 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6862 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6863 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6864 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6865 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6866 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6867 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6868 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6869 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6870 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6871 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6872 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6873 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6874 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6875 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6876 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6877 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6878 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6879 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6880 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6881 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6882 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6883 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6884 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6885 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6886 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6887 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6888 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6889 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6890 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6891 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6892 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6893 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6894 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6895 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6896 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6897 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6898 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6899 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6900 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6901 #INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6902 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6903 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6904 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6905 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6906 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6907 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6908 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6909 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6910 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6911 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6912 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6913 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6914 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6915 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6916 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6917 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6918 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6919 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6920 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6921 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6922 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
6923 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
6924 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
6925 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
6926 
6927 INST "decoder_inst/compExch_Layer_03_to_04_sites_08_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_08_12";
6928 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_08_12" RANGE=SLICE_X152Y2:SLICE_X161Y233;
6929 
6930 
6931 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/M*" U_SET="uset_compExch_Layer_03_to_04_sites_09_13";
6932 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
6933 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
6934 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
6935 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
6936 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
6937 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
6938 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
6939 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
6940 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
6941 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
6942 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
6943 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
6944 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
6945 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
6946 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
6947 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
6948 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
6949 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
6950 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
6951 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
6952 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
6953 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
6954 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
6955 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
6956 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
6957 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
6958 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
6959 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
6960 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
6961 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
6962 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
6963 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
6964 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
6965 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
6966 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
6967 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
6968 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
6969 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
6970 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
6971 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
6972 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
6973 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
6974 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
6975 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
6976 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
6977 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
6978 #INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
6979 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
6980 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
6981 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
6982 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
6983 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
6984 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
6985 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
6986 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
6987 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
6988 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
6989 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
6990 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
6991 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
6992 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
6993 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
6994 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
6995 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
6996 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
6997 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
6998 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
6999 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7000 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7001 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7002 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7003 
7004 INST "decoder_inst/compExch_Layer_03_to_04_sites_09_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_09_13";
7005 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_09_13" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7006 
7007 
7008 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/M*" U_SET="uset_compExch_Layer_03_to_04_sites_10_14";
7009 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7010 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7011 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7012 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7013 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7014 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7015 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7016 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7017 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7018 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7019 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7020 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7021 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7022 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7023 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7024 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7025 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7026 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7027 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7028 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7029 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7030 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7031 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7032 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7033 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7034 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7035 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7036 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7037 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7038 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7039 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7040 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7041 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7042 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7043 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7044 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7045 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7046 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7047 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7048 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7049 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7050 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7051 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7052 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7053 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7054 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7055 #INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7056 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7057 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7058 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7059 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7060 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7061 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7062 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7063 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7064 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7065 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7066 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7067 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7068 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7069 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7070 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7071 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7072 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7073 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7074 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7075 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7076 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7077 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7078 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7079 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7080 
7081 INST "decoder_inst/compExch_Layer_03_to_04_sites_10_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_10_14";
7082 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_10_14" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7083 
7084 
7085 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/M*" U_SET="uset_compExch_Layer_03_to_04_sites_11_15";
7086 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7087 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7088 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7089 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7090 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7091 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7092 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7093 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7094 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7095 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7096 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7097 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7098 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7099 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7100 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7101 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7102 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7103 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7104 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7105 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7106 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7107 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7108 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7109 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7110 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7111 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7112 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7113 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7114 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7115 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7116 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7117 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7118 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7119 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7120 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7121 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7122 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7123 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7124 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7125 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7126 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7127 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7128 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7129 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7130 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7131 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7132 #INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7133 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7134 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7135 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7136 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7137 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7138 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7139 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7140 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7141 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7142 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7143 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7144 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7145 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7146 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7147 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7148 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7149 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7150 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7151 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7152 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7153 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7154 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7155 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7156 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7157 
7158 INST "decoder_inst/compExch_Layer_03_to_04_sites_11_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_11_15";
7159 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_11_15" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7160 
7161 
7162 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/M*" U_SET="uset_compExch_Layer_04_to_05_sites_10_12";
7163 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7164 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7165 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7166 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7167 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7168 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7169 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7170 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7171 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7172 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7173 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7174 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7175 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7176 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7177 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7178 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7179 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7180 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7181 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7182 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7183 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7184 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7185 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7186 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7187 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7188 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7189 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7190 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7191 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7192 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7193 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7194 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7195 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7196 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7197 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7198 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7199 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7200 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7201 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7202 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7203 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7204 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7205 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7206 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7207 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7208 #INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7209 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7210 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7211 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7212 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7213 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7214 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7215 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7216 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7217 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7218 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7219 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7220 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7221 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7222 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7223 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7224 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7225 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7226 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7227 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7228 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7229 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7230 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7231 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7232 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7233 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7234 
7235 INST "decoder_inst/compExch_Layer_04_to_05_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_10_12";
7236 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_10_12" RANGE=SLICE_X156Y2:SLICE_X165Y233;
7237 
7238 
7239 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/M*" U_SET="uset_compExch_Layer_04_to_05_sites_11_13";
7240 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7241 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7242 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7243 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7244 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7245 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7246 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7247 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7248 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7249 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7250 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7251 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7252 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7253 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7254 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7255 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7256 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7257 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7258 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7259 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7260 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7261 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7262 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7263 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7264 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7265 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7266 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7267 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7268 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7269 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7270 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7271 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7272 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7273 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7274 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7275 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7276 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7277 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7278 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7279 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7280 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7281 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7282 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7283 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7284 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7285 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7286 #INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7287 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7288 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7289 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7290 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7291 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7292 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7293 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7294 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7295 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7296 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7297 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7298 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7299 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7300 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7301 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7302 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7303 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7304 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7305 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7306 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7307 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7308 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7309 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7310 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7311 
7312 INST "decoder_inst/compExch_Layer_04_to_05_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_11_13";
7313 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_11_13" RANGE=SLICE_X156Y2:SLICE_X165Y233;
7314 
7315 
7316 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/M*" U_SET="uset_compExch_Layer_05_to_06_sites_09_10";
7317 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7318 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7319 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7320 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7321 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7322 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7323 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7324 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7325 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7326 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7327 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7328 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7329 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7330 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7331 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7332 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7333 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7334 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7335 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7336 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7337 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7338 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7339 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7340 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7341 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7342 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7343 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7344 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7345 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7346 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7347 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7348 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7349 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7350 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7351 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7352 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7353 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7354 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7355 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7356 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7357 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7358 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7359 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7360 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7361 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7362 #INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7363 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7364 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7365 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7366 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7367 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7368 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7369 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7370 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7371 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7372 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7373 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7374 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7375 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7376 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7377 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7378 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7379 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7380 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7381 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7382 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7383 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7384 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7385 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7386 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7387 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7388 
7389 INST "decoder_inst/compExch_Layer_05_to_06_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_09_10";
7390 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_09_10" RANGE=SLICE_X160Y2:SLICE_X169Y233;
7391 
7392 
7393 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/M*" U_SET="uset_compExch_Layer_05_to_06_sites_11_12";
7394 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7395 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7396 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7397 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7398 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7399 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7400 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7401 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7402 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7403 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7404 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7405 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7406 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7407 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7408 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7409 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7410 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7411 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7412 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7413 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7414 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7415 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7416 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7417 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7418 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7419 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7420 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7421 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7422 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7423 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7424 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7425 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7426 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7427 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7428 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7429 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7430 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7431 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7432 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7433 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7434 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7435 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7436 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7437 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7438 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7439 #INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7440 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7441 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7442 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7443 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7444 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7445 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7446 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7447 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7448 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7449 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7450 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7451 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7452 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7453 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7454 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7455 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7456 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7457 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7458 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7459 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7460 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7461 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7462 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7463 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7464 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7465 
7466 INST "decoder_inst/compExch_Layer_05_to_06_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_11_12";
7467 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_11_12" RANGE=SLICE_X160Y2:SLICE_X169Y233;
7468 
7469 
7470 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/M*" U_SET="uset_compExch_Layer_05_to_06_sites_13_14";
7471 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7472 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7473 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7474 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7475 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7476 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7477 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7478 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7479 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7480 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7481 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7482 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7483 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7484 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7485 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7486 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7487 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7488 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7489 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7490 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7491 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7492 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7493 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7494 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7495 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7496 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7497 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7498 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7499 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7500 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7501 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7502 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7503 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7504 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7505 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7506 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7507 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7508 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7509 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7510 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7511 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7512 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7513 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7514 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7515 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7516 #INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7517 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7518 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7519 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7520 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7521 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7522 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7523 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7524 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7525 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7526 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7527 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7528 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7529 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7530 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7531 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7532 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7533 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7534 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7535 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7536 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7537 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7538 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7539 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7540 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7541 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7542 
7543 INST "decoder_inst/compExch_Layer_05_to_06_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_13_14";
7544 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_13_14" RANGE=SLICE_X160Y2:SLICE_X169Y233;
7545 
7546 
7547 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/M*" U_SET="uset_compExch_Layer_03_to_04_sites_16_20";
7548 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7549 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7550 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7551 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7552 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7553 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7554 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7555 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7556 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7557 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7558 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7559 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7560 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7561 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7562 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7563 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7564 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7565 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7566 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7567 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7568 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7569 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7570 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7571 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7572 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7573 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7574 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7575 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7576 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7577 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7578 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7579 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7580 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7581 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7582 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7583 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7584 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7585 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7586 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7587 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7588 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7589 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7590 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7591 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7592 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7593 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7594 #INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7595 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7596 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7597 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7598 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7599 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7600 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7601 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7602 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7603 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7604 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7605 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7606 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7607 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7608 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7609 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7610 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7611 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7612 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7613 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7614 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7615 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7616 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7617 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7618 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7619 
7620 INST "decoder_inst/compExch_Layer_03_to_04_sites_16_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_16_20";
7621 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_16_20" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7622 
7623 
7624 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/M*" U_SET="uset_compExch_Layer_03_to_04_sites_17_21";
7625 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7626 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7627 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7628 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7629 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7630 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7631 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7632 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7633 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7634 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7635 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7636 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7637 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7638 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7639 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7640 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7641 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7642 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7643 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7644 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7645 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7646 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7647 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7648 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7649 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7650 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7651 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7652 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7653 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7654 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7655 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7656 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7657 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7658 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7659 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7660 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7661 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7662 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7663 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7664 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7665 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7666 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7667 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7668 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7669 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7670 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7671 #INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7672 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7673 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7674 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7675 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7676 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7677 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7678 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7679 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7680 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7681 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7682 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7683 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7684 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7685 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7686 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7687 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7688 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7689 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7690 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7691 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7692 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7693 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7694 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7695 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7696 
7697 INST "decoder_inst/compExch_Layer_03_to_04_sites_17_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_17_21";
7698 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_17_21" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7699 
7700 
7701 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/M*" U_SET="uset_compExch_Layer_03_to_04_sites_18_22";
7702 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7703 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7704 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7705 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7706 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7707 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7708 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7709 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7710 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7711 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7712 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7713 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7714 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7715 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7716 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7717 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7718 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7719 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7720 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7721 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7722 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7723 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7724 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7725 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7726 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7727 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7728 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7729 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7730 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7731 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7732 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7733 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7734 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7735 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7736 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7737 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7738 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7739 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7740 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7741 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7742 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7743 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7744 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7745 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7746 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7747 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7748 #INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7749 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7750 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7751 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7752 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7753 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7754 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7755 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7756 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7757 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7758 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7759 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7760 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7761 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7762 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7763 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7764 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7765 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7766 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7767 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7768 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7769 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7770 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7771 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7772 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7773 
7774 INST "decoder_inst/compExch_Layer_03_to_04_sites_18_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_18_22";
7775 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_18_22" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7776 
7777 
7778 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/M*" U_SET="uset_compExch_Layer_03_to_04_sites_19_23";
7779 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7780 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7781 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7782 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7783 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7784 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7785 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7786 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7787 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7788 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7789 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7790 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7791 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7792 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7793 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7794 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7795 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7796 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7797 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7798 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7799 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7800 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7801 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7802 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7803 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7804 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7805 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7806 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7807 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7808 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7809 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7810 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7811 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7812 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7813 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7814 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7815 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7816 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7817 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7818 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7819 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7820 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7821 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7822 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7823 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7824 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7825 #INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7826 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7827 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7828 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7829 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7830 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7831 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7832 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7833 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7834 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7835 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7836 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7837 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7838 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7839 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7840 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7841 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7842 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7843 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7844 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7845 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7846 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7847 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7848 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7849 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7850 
7851 INST "decoder_inst/compExch_Layer_03_to_04_sites_19_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_19_23";
7852 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_19_23" RANGE=SLICE_X152Y2:SLICE_X161Y233;
7853 
7854 
7855 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/M*" U_SET="uset_compExch_Layer_04_to_05_sites_18_20";
7856 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7857 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7858 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7859 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7860 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7861 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7862 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7863 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7864 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7865 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7866 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7867 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7868 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7869 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7870 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7871 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7872 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7873 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7874 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7875 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7876 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7877 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7878 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7879 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7880 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7881 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7882 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7883 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7884 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7885 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7886 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7887 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7888 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7889 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7890 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7891 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7892 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7893 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7894 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7895 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7896 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7897 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7898 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7899 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7900 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7901 #INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7902 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7903 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7904 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7905 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7906 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7907 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7908 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7909 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7910 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7911 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7912 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7913 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7914 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7915 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7916 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7917 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7918 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7919 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7920 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7921 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7922 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
7923 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
7924 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
7925 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
7926 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
7927 
7928 INST "decoder_inst/compExch_Layer_04_to_05_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_18_20";
7929 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_18_20" RANGE=SLICE_X156Y2:SLICE_X165Y233;
7930 
7931 
7932 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/M*" U_SET="uset_compExch_Layer_04_to_05_sites_19_21";
7933 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
7934 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
7935 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
7936 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
7937 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
7938 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
7939 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
7940 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
7941 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
7942 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
7943 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
7944 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
7945 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
7946 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
7947 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
7948 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
7949 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
7950 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
7951 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
7952 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
7953 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
7954 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
7955 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
7956 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
7957 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
7958 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
7959 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
7960 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
7961 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
7962 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
7963 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
7964 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
7965 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
7966 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
7967 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
7968 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
7969 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
7970 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
7971 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
7972 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
7973 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
7974 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
7975 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
7976 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
7977 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
7978 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
7979 #INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
7980 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
7981 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
7982 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
7983 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
7984 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
7985 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
7986 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
7987 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
7988 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
7989 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
7990 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
7991 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
7992 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
7993 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
7994 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
7995 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
7996 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
7997 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
7998 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
7999 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8000 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8001 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8002 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8003 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8004 
8005 INST "decoder_inst/compExch_Layer_04_to_05_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_19_21";
8006 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_19_21" RANGE=SLICE_X156Y2:SLICE_X165Y233;
8007 
8008 
8009 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/M*" U_SET="uset_compExch_Layer_05_to_06_sites_17_18";
8010 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8011 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8012 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8013 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8014 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8015 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8016 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8017 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8018 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8019 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8020 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8021 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8022 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8023 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8024 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8025 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8026 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8027 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8028 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8029 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8030 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8031 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8032 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8033 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8034 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8035 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8036 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8037 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8038 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8039 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8040 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8041 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8042 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8043 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8044 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8045 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8046 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8047 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8048 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8049 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8050 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8051 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8052 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8053 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8054 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8055 #INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8056 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8057 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8058 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8059 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8060 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8061 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8062 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8063 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8064 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8065 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8066 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8067 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8068 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8069 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8070 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8071 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8072 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8073 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8074 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8075 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8076 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8077 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8078 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8079 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8080 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8081 
8082 INST "decoder_inst/compExch_Layer_05_to_06_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_17_18";
8083 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_17_18" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8084 
8085 
8086 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/M*" U_SET="uset_compExch_Layer_05_to_06_sites_19_20";
8087 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8088 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8089 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8090 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8091 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8092 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8093 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8094 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8095 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8096 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8097 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8098 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8099 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8100 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8101 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8102 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8103 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8104 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8105 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8106 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8107 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8108 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8109 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8110 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8111 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8112 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8113 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8114 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8115 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8116 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8117 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8118 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8119 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8120 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8121 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8122 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8123 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8124 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8125 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8126 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8127 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8128 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8129 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8130 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8131 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8132 #INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8133 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8134 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8135 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8136 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8137 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8138 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8139 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8140 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8141 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8142 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8143 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8144 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8145 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8146 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8147 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8148 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8149 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8150 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8151 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8152 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8153 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8154 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8155 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8156 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8157 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8158 
8159 INST "decoder_inst/compExch_Layer_05_to_06_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_19_20";
8160 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_19_20" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8161 
8162 
8163 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/M*" U_SET="uset_compExch_Layer_05_to_06_sites_21_22";
8164 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8165 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8166 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8167 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8168 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8169 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8170 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8171 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8172 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8173 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8174 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8175 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8176 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8177 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8178 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8179 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8180 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8181 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8182 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8183 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8184 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8185 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8186 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8187 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8188 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8189 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8190 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8191 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8192 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8193 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8194 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8195 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8196 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8197 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8198 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8199 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8200 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8201 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8202 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8203 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8204 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8205 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8206 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8207 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8208 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8209 #INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8210 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8211 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8212 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8213 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8214 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8215 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8216 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8217 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8218 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8219 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8220 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8221 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8222 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8223 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8224 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8225 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8226 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8227 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8228 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8229 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8230 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8231 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8232 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8233 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8234 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8235 
8236 INST "decoder_inst/compExch_Layer_05_to_06_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_21_22";
8237 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_21_22" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8238 
8239 
8240 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/M*" U_SET="uset_compExch_Layer_03_to_04_sites_24_28";
8241 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8242 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8243 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8244 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8245 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8246 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8247 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8248 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8249 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8250 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8251 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8252 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8253 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8254 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8255 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8256 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8257 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8258 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8259 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8260 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8261 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8262 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8263 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8264 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8265 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8266 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8267 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8268 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8269 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8270 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8271 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8272 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8273 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8274 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8275 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8276 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8277 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8278 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8279 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8280 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8281 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8282 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8283 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8284 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8285 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8286 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8287 #INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8288 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8289 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8290 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8291 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8292 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8293 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8294 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8295 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8296 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8297 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8298 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8299 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8300 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8301 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8302 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8303 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8304 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8305 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8306 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8307 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8308 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8309 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8310 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8311 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8312 
8313 INST "decoder_inst/compExch_Layer_03_to_04_sites_24_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_24_28";
8314 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_24_28" RANGE=SLICE_X152Y2:SLICE_X161Y233;
8315 
8316 
8317 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/M*" U_SET="uset_compExch_Layer_03_to_04_sites_25_29";
8318 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8319 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8320 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8321 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8322 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8323 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8324 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8325 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8326 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8327 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8328 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8329 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8330 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8331 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8332 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8333 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8334 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8335 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8336 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8337 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8338 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8339 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8340 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8341 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8342 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8343 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8344 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8345 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8346 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8347 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8348 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8349 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8350 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8351 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8352 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8353 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8354 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8355 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8356 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8357 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8358 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8359 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8360 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8361 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8362 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8363 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8364 #INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8365 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8366 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8367 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8368 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8369 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8370 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8371 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8372 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8373 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8374 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8375 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8376 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8377 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8378 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8379 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8380 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8381 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8382 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8383 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8384 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8385 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8386 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8387 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8388 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8389 
8390 INST "decoder_inst/compExch_Layer_03_to_04_sites_25_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_25_29";
8391 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_25_29" RANGE=SLICE_X152Y2:SLICE_X161Y233;
8392 
8393 
8394 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/M*" U_SET="uset_compExch_Layer_03_to_04_sites_26_30";
8395 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8396 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8397 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8398 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8399 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8400 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8401 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8402 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8403 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8404 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8405 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8406 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8407 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8408 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8409 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8410 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8411 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8412 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8413 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8414 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8415 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8416 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8417 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8418 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8419 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8420 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8421 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8422 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8423 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8424 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8425 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8426 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8427 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8428 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8429 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8430 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8431 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8432 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8433 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8434 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8435 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8436 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8437 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8438 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8439 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8440 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8441 #INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8442 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8443 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8444 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8445 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8446 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8447 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8448 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8449 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8450 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8451 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8452 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8453 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8454 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8455 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8456 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8457 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8458 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8459 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8460 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8461 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8462 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8463 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8464 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8465 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8466 
8467 INST "decoder_inst/compExch_Layer_03_to_04_sites_26_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_26_30";
8468 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_26_30" RANGE=SLICE_X152Y2:SLICE_X161Y233;
8469 
8470 
8471 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/M*" U_SET="uset_compExch_Layer_03_to_04_sites_27_31";
8472 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8473 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8474 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8475 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8476 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8477 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8478 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8479 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8480 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8481 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8482 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8483 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8484 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8485 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8486 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8487 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8488 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8489 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8490 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8491 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8492 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8493 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8494 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8495 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8496 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8497 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8498 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8499 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8500 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8501 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8502 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8503 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8504 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8505 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8506 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8507 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8508 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8509 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8510 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8511 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8512 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8513 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8514 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8515 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8516 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8517 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8518 #INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8519 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8520 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8521 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8522 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8523 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8524 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8525 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8526 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8527 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8528 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8529 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8530 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8531 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8532 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8533 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8534 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8535 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8536 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8537 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8538 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8539 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8540 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8541 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8542 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8543 
8544 INST "decoder_inst/compExch_Layer_03_to_04_sites_27_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_27_31";
8545 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_27_31" RANGE=SLICE_X152Y2:SLICE_X161Y233;
8546 
8547 
8548 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/M*" U_SET="uset_compExch_Layer_04_to_05_sites_26_28";
8549 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8550 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8551 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8552 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8553 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8554 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8555 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8556 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8557 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8558 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8559 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8560 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8561 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8562 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8563 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8564 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8565 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8566 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8567 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8568 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8569 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8570 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8571 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8572 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8573 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8574 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8575 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8576 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8577 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8578 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8579 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8580 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8581 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8582 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8583 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8584 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8585 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8586 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8587 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8588 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8589 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8590 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8591 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8592 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8593 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8594 #INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8595 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8596 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8597 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8598 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8599 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8600 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8601 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8602 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8603 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8604 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8605 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8606 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8607 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8608 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8609 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8610 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8611 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8612 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8613 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8614 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8615 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8616 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8617 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8618 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8619 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8620 
8621 INST "decoder_inst/compExch_Layer_04_to_05_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_26_28";
8622 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_26_28" RANGE=SLICE_X156Y2:SLICE_X165Y233;
8623 
8624 
8625 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/M*" U_SET="uset_compExch_Layer_04_to_05_sites_27_29";
8626 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8627 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8628 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8629 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8630 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8631 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8632 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8633 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8634 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8635 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8636 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8637 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8638 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8639 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8640 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8641 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8642 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8643 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8644 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8645 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8646 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8647 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8648 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8649 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8650 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8651 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8652 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8653 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8654 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8655 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8656 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8657 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8658 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8659 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8660 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8661 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8662 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8663 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8664 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8665 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8666 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8667 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8668 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8669 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8670 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8671 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8672 #INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8673 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8674 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8675 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8676 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8677 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8678 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8679 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8680 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8681 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8682 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8683 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8684 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8685 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8686 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8687 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8688 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8689 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8690 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8691 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8692 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8693 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8694 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8695 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8696 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8697 
8698 INST "decoder_inst/compExch_Layer_04_to_05_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_27_29";
8699 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_27_29" RANGE=SLICE_X156Y2:SLICE_X165Y233;
8700 
8701 
8702 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/M*" U_SET="uset_compExch_Layer_05_to_06_sites_25_26";
8703 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8704 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8705 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8706 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8707 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8708 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8709 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8710 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8711 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8712 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8713 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8714 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8715 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8716 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8717 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8718 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8719 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8720 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8721 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8722 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8723 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8724 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8725 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8726 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8727 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8728 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8729 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8730 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8731 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8732 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8733 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8734 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8735 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8736 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8737 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8738 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8739 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8740 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8741 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8742 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8743 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8744 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8745 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8746 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8747 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8748 #INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8749 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8750 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8751 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8752 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8753 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8754 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8755 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8756 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8757 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8758 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8759 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8760 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8761 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8762 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8763 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8764 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8765 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8766 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8767 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8768 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8769 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8770 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8771 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8772 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8773 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8774 
8775 INST "decoder_inst/compExch_Layer_05_to_06_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_25_26";
8776 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_25_26" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8777 
8778 
8779 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/M*" U_SET="uset_compExch_Layer_05_to_06_sites_27_28";
8780 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8781 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8782 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8783 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8784 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8785 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8786 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8787 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8788 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8789 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8790 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8791 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8792 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8793 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8794 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8795 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8796 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8797 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8798 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8799 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8800 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8801 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8802 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8803 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8804 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8805 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8806 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8807 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8808 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8809 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8810 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8811 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8812 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8813 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8814 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8815 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8816 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8817 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8818 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8819 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8820 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8821 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8822 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8823 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8824 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8825 #INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8826 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8827 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8828 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8829 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8830 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8831 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8832 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8833 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8834 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8835 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8836 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8837 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8838 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8839 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8840 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8841 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8842 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8843 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8844 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8845 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8846 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8847 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8848 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8849 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8850 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8851 
8852 INST "decoder_inst/compExch_Layer_05_to_06_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_27_28";
8853 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_27_28" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8854 
8855 
8856 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/M*" U_SET="uset_compExch_Layer_05_to_06_sites_29_30";
8857 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8858 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8859 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8860 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8861 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8862 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8863 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8864 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8865 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8866 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8867 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8868 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8869 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8870 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8871 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8872 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8873 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8874 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8875 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8876 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8877 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8878 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8879 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8880 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8881 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8882 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8883 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8884 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8885 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8886 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8887 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8888 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8889 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8890 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8891 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8892 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8893 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8894 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8895 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8896 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8897 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8898 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8899 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8900 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8901 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8902 #INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8903 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8904 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8905 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8906 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8907 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8908 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8909 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8910 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8911 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8912 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8913 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8914 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8915 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8916 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8917 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8918 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8919 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8920 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8921 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8922 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
8923 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
8924 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
8925 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
8926 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
8927 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
8928 
8929 INST "decoder_inst/compExch_Layer_05_to_06_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_29_30";
8930 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_29_30" RANGE=SLICE_X160Y2:SLICE_X169Y233;
8931 
8932 
8933 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/M*" U_SET="uset_compExch_Layer_03_to_04_sites_32_36";
8934 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
8935 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
8936 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
8937 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
8938 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
8939 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
8940 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
8941 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
8942 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
8943 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
8944 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
8945 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
8946 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
8947 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
8948 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
8949 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
8950 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
8951 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
8952 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
8953 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
8954 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
8955 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
8956 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
8957 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
8958 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
8959 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
8960 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
8961 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
8962 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
8963 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
8964 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
8965 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
8966 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
8967 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
8968 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
8969 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
8970 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
8971 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
8972 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
8973 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
8974 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
8975 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
8976 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
8977 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
8978 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
8979 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
8980 #INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
8981 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
8982 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
8983 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
8984 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
8985 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
8986 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
8987 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
8988 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
8989 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
8990 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
8991 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
8992 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
8993 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
8994 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
8995 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
8996 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
8997 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
8998 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
8999 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9000 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9001 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9002 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9003 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9004 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9005 
9006 INST "decoder_inst/compExch_Layer_03_to_04_sites_32_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_32_36";
9007 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_32_36" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9008 
9009 
9010 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/M*" U_SET="uset_compExch_Layer_03_to_04_sites_33_37";
9011 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9012 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9013 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9014 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9015 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9016 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9017 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9018 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9019 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9020 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9021 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9022 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9023 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9024 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9025 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9026 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9027 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9028 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9029 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9030 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9031 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9032 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9033 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9034 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9035 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9036 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9037 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9038 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9039 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9040 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9041 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9042 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9043 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9044 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9045 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9046 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9047 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9048 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9049 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9050 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9051 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9052 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9053 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9054 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9055 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9056 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9057 #INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9058 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9059 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9060 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9061 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9062 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9063 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9064 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9065 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9066 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9067 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9068 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9069 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9070 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9071 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9072 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9073 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9074 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9075 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9076 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9077 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9078 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9079 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9080 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9081 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9082 
9083 INST "decoder_inst/compExch_Layer_03_to_04_sites_33_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_33_37";
9084 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_33_37" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9085 
9086 
9087 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/M*" U_SET="uset_compExch_Layer_03_to_04_sites_34_38";
9088 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9089 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9090 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9091 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9092 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9093 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9094 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9095 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9096 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9097 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9098 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9099 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9100 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9101 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9102 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9103 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9104 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9105 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9106 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9107 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9108 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9109 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9110 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9111 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9112 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9113 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9114 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9115 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9116 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9117 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9118 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9119 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9120 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9121 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9122 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9123 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9124 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9125 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9126 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9127 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9128 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9129 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9130 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9131 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9132 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9133 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9134 #INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9135 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9136 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9137 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9138 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9139 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9140 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9141 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9142 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9143 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9144 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9145 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9146 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9147 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9148 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9149 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9150 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9151 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9152 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9153 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9154 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9155 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9156 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9157 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9158 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9159 
9160 INST "decoder_inst/compExch_Layer_03_to_04_sites_34_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_34_38";
9161 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_34_38" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9162 
9163 
9164 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/M*" U_SET="uset_compExch_Layer_03_to_04_sites_35_39";
9165 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9166 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9167 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9168 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9169 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9170 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9171 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9172 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9173 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9174 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9175 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9176 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9177 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9178 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9179 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9180 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9181 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9182 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9183 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9184 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9185 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9186 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9187 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9188 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9189 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9190 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9191 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9192 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9193 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9194 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9195 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9196 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9197 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9198 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9199 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9200 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9201 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9202 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9203 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9204 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9205 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9206 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9207 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9208 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9209 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9210 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9211 #INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9212 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9213 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9214 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9215 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9216 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9217 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9218 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9219 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9220 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9221 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9222 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9223 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9224 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9225 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9226 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9227 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9228 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9229 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9230 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9231 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9232 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9233 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9234 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9235 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9236 
9237 INST "decoder_inst/compExch_Layer_03_to_04_sites_35_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_35_39";
9238 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_35_39" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9239 
9240 
9241 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/M*" U_SET="uset_compExch_Layer_04_to_05_sites_34_36";
9242 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9243 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9244 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9245 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9246 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9247 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9248 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9249 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9250 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9251 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9252 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9253 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9254 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9255 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9256 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9257 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9258 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9259 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9260 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9261 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9262 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9263 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9264 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9265 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9266 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9267 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9268 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9269 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9270 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9271 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9272 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9273 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9274 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9275 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9276 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9277 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9278 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9279 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9280 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9281 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9282 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9283 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9284 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9285 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9286 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9287 #INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9288 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9289 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9290 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9291 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9292 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9293 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9294 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9295 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9296 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9297 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9298 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9299 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9300 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9301 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9302 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9303 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9304 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9305 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9306 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9307 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9308 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9309 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9310 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9311 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9312 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9313 
9314 INST "decoder_inst/compExch_Layer_04_to_05_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_34_36";
9315 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_34_36" RANGE=SLICE_X156Y2:SLICE_X165Y233;
9316 
9317 
9318 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/M*" U_SET="uset_compExch_Layer_04_to_05_sites_35_37";
9319 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9320 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9321 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9322 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9323 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9324 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9325 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9326 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9327 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9328 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9329 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9330 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9331 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9332 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9333 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9334 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9335 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9336 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9337 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9338 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9339 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9340 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9341 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9342 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9343 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9344 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9345 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9346 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9347 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9348 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9349 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9350 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9351 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9352 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9353 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9354 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9355 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9356 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9357 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9358 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9359 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9360 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9361 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9362 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9363 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9364 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9365 #INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9366 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9367 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9368 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9369 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9370 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9371 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9372 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9373 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9374 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9375 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9376 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9377 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9378 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9379 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9380 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9381 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9382 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9383 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9384 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9385 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9386 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9387 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9388 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9389 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9390 
9391 INST "decoder_inst/compExch_Layer_04_to_05_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_35_37";
9392 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_35_37" RANGE=SLICE_X156Y2:SLICE_X165Y233;
9393 
9394 
9395 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/M*" U_SET="uset_compExch_Layer_05_to_06_sites_33_34";
9396 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9397 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9398 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9399 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9400 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9401 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9402 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9403 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9404 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9405 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9406 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9407 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9408 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9409 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9410 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9411 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9412 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9413 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9414 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9415 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9416 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9417 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9418 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9419 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9420 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9421 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9422 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9423 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9424 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9425 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9426 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9427 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9428 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9429 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9430 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9431 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9432 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9433 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9434 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9435 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9436 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9437 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9438 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9439 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9440 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9441 #INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9442 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9443 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9444 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9445 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9446 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9447 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9448 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9449 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9450 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9451 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9452 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9453 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9454 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9455 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9456 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9457 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9458 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9459 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9460 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9461 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9462 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9463 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9464 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9465 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9466 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9467 
9468 INST "decoder_inst/compExch_Layer_05_to_06_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_33_34";
9469 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_33_34" RANGE=SLICE_X160Y2:SLICE_X169Y233;
9470 
9471 
9472 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/M*" U_SET="uset_compExch_Layer_05_to_06_sites_35_36";
9473 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9474 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9475 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9476 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9477 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9478 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9479 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9480 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9481 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9482 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9483 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9484 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9485 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9486 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9487 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9488 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9489 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9490 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9491 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9492 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9493 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9494 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9495 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9496 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9497 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9498 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9499 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9500 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9501 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9502 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9503 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9504 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9505 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9506 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9507 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9508 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9509 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9510 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9511 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9512 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9513 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9514 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9515 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9516 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9517 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9518 #INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9519 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9520 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9521 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9522 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9523 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9524 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9525 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9526 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9527 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9528 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9529 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9530 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9531 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9532 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9533 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9534 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9535 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9536 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9537 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9538 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9539 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9540 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9541 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9542 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9543 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9544 
9545 INST "decoder_inst/compExch_Layer_05_to_06_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_35_36";
9546 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_35_36" RANGE=SLICE_X160Y2:SLICE_X169Y233;
9547 
9548 
9549 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/M*" U_SET="uset_compExch_Layer_05_to_06_sites_37_38";
9550 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9551 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9552 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9553 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9554 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9555 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9556 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9557 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9558 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9559 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9560 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9561 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9562 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9563 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9564 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9565 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9566 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9567 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9568 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9569 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9570 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9571 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9572 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9573 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9574 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9575 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9576 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9577 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9578 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9579 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9580 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9581 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9582 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9583 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9584 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9585 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9586 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9587 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9588 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9589 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9590 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9591 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9592 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9593 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9594 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9595 #INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9596 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9597 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9598 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9599 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9600 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9601 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9602 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9603 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9604 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9605 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9606 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9607 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9608 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9609 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9610 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9611 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9612 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9613 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9614 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9615 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9616 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9617 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9618 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9619 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9620 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9621 
9622 INST "decoder_inst/compExch_Layer_05_to_06_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_37_38";
9623 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_37_38" RANGE=SLICE_X160Y2:SLICE_X169Y233;
9624 
9625 
9626 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/M*" U_SET="uset_compExch_Layer_03_to_04_sites_40_44";
9627 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9628 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9629 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9630 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9631 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9632 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9633 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9634 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9635 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9636 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9637 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9638 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9639 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9640 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9641 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9642 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9643 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9644 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9645 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9646 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9647 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9648 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9649 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9650 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9651 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9652 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9653 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9654 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9655 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9656 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9657 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9658 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9659 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9660 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9661 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9662 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9663 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9664 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9665 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9666 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9667 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9668 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9669 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9670 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9671 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9672 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9673 #INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9674 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9675 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9676 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9677 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9678 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9679 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9680 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9681 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9682 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9683 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9684 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9685 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9686 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9687 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9688 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9689 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9690 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9691 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9692 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9693 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9694 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9695 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9696 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9697 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9698 
9699 INST "decoder_inst/compExch_Layer_03_to_04_sites_40_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_40_44";
9700 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_40_44" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9701 
9702 
9703 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/M*" U_SET="uset_compExch_Layer_03_to_04_sites_41_45";
9704 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9705 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9706 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9707 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9708 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9709 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9710 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9711 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9712 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9713 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9714 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9715 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9716 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9717 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9718 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9719 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9720 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9721 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9722 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9723 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9724 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9725 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9726 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9727 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9728 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9729 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9730 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9731 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9732 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9733 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9734 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9735 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9736 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9737 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9738 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9739 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9740 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9741 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9742 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9743 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9744 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9745 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9746 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9747 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9748 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9749 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9750 #INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9751 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9752 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9753 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9754 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9755 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9756 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9757 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9758 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9759 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9760 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9761 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9762 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9763 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9764 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9765 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9766 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9767 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9768 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9769 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9770 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9771 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9772 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9773 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9774 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9775 
9776 INST "decoder_inst/compExch_Layer_03_to_04_sites_41_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_41_45";
9777 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_41_45" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9778 
9779 
9780 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/M*" U_SET="uset_compExch_Layer_03_to_04_sites_42_46";
9781 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9782 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9783 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9784 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9785 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9786 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9787 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9788 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9789 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9790 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9791 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9792 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9793 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9794 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9795 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9796 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9797 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9798 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9799 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9800 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9801 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9802 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9803 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9804 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9805 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9806 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9807 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9808 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9809 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9810 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9811 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9812 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9813 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9814 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9815 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9816 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9817 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9818 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9819 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9820 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9821 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9822 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9823 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9824 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9825 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9826 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9827 #INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9828 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9829 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9830 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9831 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9832 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9833 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9834 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9835 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9836 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9837 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9838 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9839 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9840 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9841 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9842 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9843 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9844 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9845 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9846 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9847 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9848 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9849 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9850 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9851 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9852 
9853 INST "decoder_inst/compExch_Layer_03_to_04_sites_42_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_42_46";
9854 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_42_46" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9855 
9856 
9857 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/M*" U_SET="uset_compExch_Layer_03_to_04_sites_43_47";
9858 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9859 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9860 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9861 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9862 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9863 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9864 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9865 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9866 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9867 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9868 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9869 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9870 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9871 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9872 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9873 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9874 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9875 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9876 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9877 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9878 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9879 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9880 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9881 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9882 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9883 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9884 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9885 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9886 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9887 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9888 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9889 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9890 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9891 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9892 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9893 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9894 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9895 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9896 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9897 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9898 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9899 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9900 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9901 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9902 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9903 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9904 #INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9905 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9906 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9907 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9908 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9909 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9910 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9911 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9912 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9913 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9914 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9915 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9916 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9917 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9918 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9919 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9920 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9921 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9922 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
9923 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
9924 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
9925 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
9926 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
9927 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
9928 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
9929 
9930 INST "decoder_inst/compExch_Layer_03_to_04_sites_43_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_43_47";
9931 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_43_47" RANGE=SLICE_X152Y2:SLICE_X161Y233;
9932 
9933 
9934 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/M*" U_SET="uset_compExch_Layer_04_to_05_sites_42_44";
9935 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
9936 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
9937 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
9938 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
9939 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
9940 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
9941 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
9942 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
9943 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
9944 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
9945 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
9946 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
9947 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
9948 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
9949 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
9950 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
9951 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
9952 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
9953 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
9954 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
9955 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
9956 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
9957 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
9958 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
9959 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
9960 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
9961 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
9962 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
9963 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
9964 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
9965 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
9966 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
9967 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
9968 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
9969 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
9970 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
9971 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
9972 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
9973 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
9974 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
9975 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
9976 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
9977 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
9978 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
9979 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
9980 #INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
9981 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
9982 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
9983 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
9984 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
9985 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
9986 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
9987 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
9988 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
9989 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
9990 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
9991 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
9992 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
9993 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
9994 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
9995 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
9996 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
9997 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
9998 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
9999 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10000 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10001 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10002 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10003 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10004 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10005 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10006 
10007 INST "decoder_inst/compExch_Layer_04_to_05_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_42_44";
10008 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_42_44" RANGE=SLICE_X156Y2:SLICE_X165Y233;
10009 
10010 
10011 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/M*" U_SET="uset_compExch_Layer_04_to_05_sites_43_45";
10012 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10013 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10014 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10015 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10016 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10017 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10018 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10019 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10020 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10021 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10022 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10023 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10024 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10025 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10026 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10027 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10028 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10029 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10030 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10031 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10032 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10033 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10034 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10035 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10036 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10037 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10038 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10039 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10040 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10041 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10042 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10043 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10044 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10045 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10046 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10047 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10048 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10049 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10050 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10051 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10052 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10053 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10054 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10055 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10056 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10057 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10058 #INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10059 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10060 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10061 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10062 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10063 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10064 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10065 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10066 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10067 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10068 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10069 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10070 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10071 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10072 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10073 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10074 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10075 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10076 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10077 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10078 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10079 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10080 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10081 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10082 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10083 
10084 INST "decoder_inst/compExch_Layer_04_to_05_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_43_45";
10085 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_43_45" RANGE=SLICE_X156Y2:SLICE_X165Y233;
10086 
10087 
10088 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/M*" U_SET="uset_compExch_Layer_05_to_06_sites_41_42";
10089 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10090 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10091 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10092 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10093 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10094 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10095 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10096 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10097 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10098 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10099 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10100 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10101 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10102 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10103 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10104 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10105 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10106 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10107 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10108 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10109 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10110 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10111 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10112 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10113 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10114 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10115 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10116 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10117 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10118 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10119 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10120 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10121 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10122 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10123 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10124 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10125 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10126 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10127 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10128 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10129 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10130 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10131 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10132 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10133 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10134 #INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10135 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10136 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10137 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10138 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10139 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10140 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10141 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10142 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10143 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10144 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10145 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10146 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10147 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10148 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10149 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10150 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10151 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10152 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10153 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10154 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10155 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10156 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10157 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10158 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10159 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10160 
10161 INST "decoder_inst/compExch_Layer_05_to_06_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_41_42";
10162 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_41_42" RANGE=SLICE_X160Y2:SLICE_X169Y233;
10163 
10164 
10165 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/M*" U_SET="uset_compExch_Layer_05_to_06_sites_43_44";
10166 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10167 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10168 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10169 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10170 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10171 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10172 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10173 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10174 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10175 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10176 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10177 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10178 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10179 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10180 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10181 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10182 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10183 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10184 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10185 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10186 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10187 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10188 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10189 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10190 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10191 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10192 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10193 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10194 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10195 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10196 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10197 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10198 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10199 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10200 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10201 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10202 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10203 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10204 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10205 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10206 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10207 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10208 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10209 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10210 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10211 #INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10212 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10213 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10214 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10215 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10216 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10217 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10218 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10219 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10220 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10221 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10222 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10223 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10224 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10225 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10226 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10227 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10228 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10229 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10230 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10231 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10232 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10233 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10234 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10235 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10236 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10237 
10238 INST "decoder_inst/compExch_Layer_05_to_06_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_43_44";
10239 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_43_44" RANGE=SLICE_X160Y2:SLICE_X169Y233;
10240 
10241 
10242 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/M*" U_SET="uset_compExch_Layer_05_to_06_sites_45_46";
10243 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10244 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10245 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10246 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10247 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10248 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10249 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10250 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10251 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10252 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10253 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10254 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10255 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10256 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10257 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10258 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10259 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10260 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10261 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10262 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10263 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10264 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10265 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10266 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10267 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10268 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10269 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10270 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10271 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10272 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10273 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10274 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10275 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10276 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10277 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10278 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10279 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10280 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10281 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10282 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10283 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10284 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10285 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10286 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10287 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10288 #INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10289 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10290 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10291 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10292 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10293 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10294 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10295 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10296 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10297 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10298 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10299 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10300 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10301 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10302 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10303 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10304 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10305 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10306 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10307 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10308 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10309 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10310 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10311 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10312 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10313 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10314 
10315 INST "decoder_inst/compExch_Layer_05_to_06_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_45_46";
10316 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_45_46" RANGE=SLICE_X160Y2:SLICE_X169Y233;
10317 
10318 
10319 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/M*" U_SET="uset_compExch_Layer_03_to_04_sites_48_52";
10320 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10321 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10322 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10323 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10324 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10325 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10326 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10327 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10328 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10329 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10330 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10331 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10332 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10333 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10334 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10335 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10336 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10337 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10338 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10339 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10340 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10341 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10342 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10343 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10344 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10345 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10346 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10347 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10348 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10349 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10350 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10351 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10352 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10353 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10354 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10355 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10356 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10357 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10358 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10359 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10360 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10361 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10362 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10363 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10364 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10365 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10366 #INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10367 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10368 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10369 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10370 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10371 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10372 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10373 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10374 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10375 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10376 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10377 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10378 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10379 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10380 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10381 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10382 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10383 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10384 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10385 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10386 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10387 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10388 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10389 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10390 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10391 
10392 INST "decoder_inst/compExch_Layer_03_to_04_sites_48_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_48_52";
10393 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_48_52" RANGE=SLICE_X152Y2:SLICE_X161Y233;
10394 
10395 
10396 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/M*" U_SET="uset_compExch_Layer_03_to_04_sites_49_53";
10397 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10398 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10399 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10400 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10401 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10402 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10403 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10404 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10405 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10406 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10407 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10408 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10409 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10410 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10411 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10412 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10413 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10414 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10415 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10416 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10417 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10418 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10419 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10420 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10421 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10422 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10423 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10424 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10425 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10426 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10427 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10428 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10429 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10430 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10431 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10432 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10433 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10434 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10435 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10436 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10437 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10438 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10439 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10440 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10441 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10442 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10443 #INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10444 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10445 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10446 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10447 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10448 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10449 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10450 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10451 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10452 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10453 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10454 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10455 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10456 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10457 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10458 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10459 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10460 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10461 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10462 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10463 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10464 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10465 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10466 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10467 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10468 
10469 INST "decoder_inst/compExch_Layer_03_to_04_sites_49_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_49_53";
10470 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_49_53" RANGE=SLICE_X152Y2:SLICE_X161Y233;
10471 
10472 
10473 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/M*" U_SET="uset_compExch_Layer_03_to_04_sites_50_54";
10474 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10475 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10476 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10477 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10478 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10479 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10480 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10481 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10482 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10483 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10484 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10485 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10486 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10487 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10488 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10489 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10490 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10491 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10492 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10493 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10494 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10495 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10496 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10497 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10498 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10499 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10500 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10501 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10502 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10503 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10504 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10505 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10506 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10507 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10508 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10509 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10510 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10511 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10512 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10513 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10514 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10515 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10516 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10517 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10518 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10519 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10520 #INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10521 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10522 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10523 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10524 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10525 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10526 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10527 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10528 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10529 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10530 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10531 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10532 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10533 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10534 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10535 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10536 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10537 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10538 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10539 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10540 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10541 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10542 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10543 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10544 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10545 
10546 INST "decoder_inst/compExch_Layer_03_to_04_sites_50_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_50_54";
10547 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_50_54" RANGE=SLICE_X152Y2:SLICE_X161Y233;
10548 
10549 
10550 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/M*" U_SET="uset_compExch_Layer_03_to_04_sites_51_55";
10551 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10552 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10553 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10554 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10555 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10556 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10557 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10558 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10559 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10560 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10561 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10562 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10563 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10564 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10565 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10566 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10567 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10568 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10569 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10570 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10571 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10572 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10573 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10574 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10575 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10576 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10577 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10578 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10579 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10580 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10581 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10582 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10583 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10584 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10585 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10586 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10587 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10588 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10589 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10590 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10591 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10592 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10593 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10594 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10595 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10596 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10597 #INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10598 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10599 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10600 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10601 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10602 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10603 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10604 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10605 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10606 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10607 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10608 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10609 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10610 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10611 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10612 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10613 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10614 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10615 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10616 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10617 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10618 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10619 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10620 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10621 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10622 
10623 INST "decoder_inst/compExch_Layer_03_to_04_sites_51_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_51_55";
10624 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_51_55" RANGE=SLICE_X152Y2:SLICE_X161Y233;
10625 
10626 
10627 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/M*" U_SET="uset_compExch_Layer_04_to_05_sites_50_52";
10628 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10629 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10630 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10631 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10632 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10633 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10634 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10635 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10636 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10637 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10638 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10639 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10640 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10641 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10642 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10643 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10644 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10645 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10646 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10647 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10648 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10649 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10650 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10651 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10652 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10653 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10654 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10655 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10656 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10657 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10658 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10659 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10660 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10661 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10662 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10663 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10664 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10665 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10666 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10667 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10668 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10669 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10670 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10671 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10672 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10673 #INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10674 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10675 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10676 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10677 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10678 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10679 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10680 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10681 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10682 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10683 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10684 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10685 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10686 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10687 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10688 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10689 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10690 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10691 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10692 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10693 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10694 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10695 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10696 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10697 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10698 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10699 
10700 INST "decoder_inst/compExch_Layer_04_to_05_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_50_52";
10701 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_50_52" RANGE=SLICE_X156Y2:SLICE_X165Y233;
10702 
10703 
10704 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/M*" U_SET="uset_compExch_Layer_04_to_05_sites_51_53";
10705 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10706 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10707 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10708 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10709 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10710 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10711 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10712 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10713 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10714 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10715 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10716 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10717 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10718 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10719 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10720 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10721 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10722 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10723 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10724 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10725 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10726 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10727 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10728 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10729 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10730 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10731 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10732 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10733 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10734 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10735 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10736 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10737 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10738 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10739 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10740 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10741 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10742 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10743 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10744 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10745 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10746 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10747 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10748 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10749 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10750 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10751 #INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10752 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10753 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10754 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10755 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10756 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10757 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10758 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10759 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10760 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10761 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10762 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10763 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10764 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10765 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10766 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10767 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10768 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10769 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10770 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10771 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10772 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10773 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10774 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10775 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10776 
10777 INST "decoder_inst/compExch_Layer_04_to_05_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_51_53";
10778 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_51_53" RANGE=SLICE_X156Y2:SLICE_X165Y233;
10779 
10780 
10781 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/M*" U_SET="uset_compExch_Layer_05_to_06_sites_49_50";
10782 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10783 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10784 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10785 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10786 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10787 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10788 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10789 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10790 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10791 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10792 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10793 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10794 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10795 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10796 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10797 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10798 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10799 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10800 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10801 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10802 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10803 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10804 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10805 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10806 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10807 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10808 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10809 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10810 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10811 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10812 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10813 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10814 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10815 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10816 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10817 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10818 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10819 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10820 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10821 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10822 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10823 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10824 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10825 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10826 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10827 #INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10828 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10829 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10830 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10831 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10832 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10833 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10834 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10835 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10836 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10837 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10838 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10839 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10840 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10841 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10842 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10843 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10844 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10845 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10846 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10847 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10848 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10849 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10850 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10851 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10852 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10853 
10854 INST "decoder_inst/compExch_Layer_05_to_06_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_49_50";
10855 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_49_50" RANGE=SLICE_X160Y2:SLICE_X169Y233;
10856 
10857 
10858 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/M*" U_SET="uset_compExch_Layer_05_to_06_sites_51_52";
10859 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10860 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10861 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10862 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10863 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10864 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10865 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10866 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10867 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10868 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10869 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10870 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10871 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10872 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10873 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10874 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10875 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10876 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10877 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10878 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10879 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10880 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10881 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10882 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10883 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10884 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10885 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10886 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10887 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10888 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10889 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10890 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10891 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10892 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10893 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10894 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10895 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10896 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10897 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10898 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10899 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10900 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10901 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10902 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10903 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10904 #INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10905 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10906 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10907 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10908 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10909 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10910 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10911 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10912 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10913 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10914 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10915 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10916 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10917 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10918 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10919 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10920 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10921 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10922 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
10923 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
10924 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
10925 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
10926 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
10927 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
10928 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
10929 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
10930 
10931 INST "decoder_inst/compExch_Layer_05_to_06_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_51_52";
10932 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_51_52" RANGE=SLICE_X160Y2:SLICE_X169Y233;
10933 
10934 
10935 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/M*" U_SET="uset_compExch_Layer_05_to_06_sites_53_54";
10936 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
10937 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
10938 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
10939 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
10940 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
10941 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
10942 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
10943 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
10944 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
10945 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
10946 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
10947 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
10948 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
10949 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
10950 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
10951 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
10952 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
10953 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
10954 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
10955 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
10956 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
10957 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
10958 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
10959 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
10960 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
10961 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
10962 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
10963 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
10964 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
10965 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
10966 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
10967 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
10968 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
10969 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
10970 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
10971 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
10972 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
10973 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
10974 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
10975 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
10976 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
10977 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
10978 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
10979 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
10980 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
10981 #INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
10982 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
10983 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
10984 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
10985 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
10986 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
10987 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
10988 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
10989 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
10990 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
10991 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
10992 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
10993 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
10994 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
10995 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
10996 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
10997 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
10998 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
10999 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11000 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11001 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11002 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11003 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11004 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11005 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11006 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11007 
11008 INST "decoder_inst/compExch_Layer_05_to_06_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_53_54";
11009 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_53_54" RANGE=SLICE_X160Y2:SLICE_X169Y233;
11010 
11011 
11012 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/M*" U_SET="uset_compExch_Layer_03_to_04_sites_56_60";
11013 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11014 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11015 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11016 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11017 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11018 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11019 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11020 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11021 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11022 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11023 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11024 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11025 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11026 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11027 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11028 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11029 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11030 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11031 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11032 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11033 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11034 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11035 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11036 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11037 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11038 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11039 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11040 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11041 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11042 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11043 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11044 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11045 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11046 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11047 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11048 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11049 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11050 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11051 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11052 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11053 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11054 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11055 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11056 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11057 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11058 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11059 #INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11060 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11061 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11062 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11063 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11064 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11065 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11066 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11067 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11068 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11069 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11070 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11071 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11072 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11073 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11074 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11075 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11076 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11077 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11078 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11079 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11080 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11081 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11082 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11083 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11084 
11085 INST "decoder_inst/compExch_Layer_03_to_04_sites_56_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_56_60";
11086 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_56_60" RANGE=SLICE_X152Y2:SLICE_X161Y233;
11087 
11088 
11089 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/M*" U_SET="uset_compExch_Layer_03_to_04_sites_57_61";
11090 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11091 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11092 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11093 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11094 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11095 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11096 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11097 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11098 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11099 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11100 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11101 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11102 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11103 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11104 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11105 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11106 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11107 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11108 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11109 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11110 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11111 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11112 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11113 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11114 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11115 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11116 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11117 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11118 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11119 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11120 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11121 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11122 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11123 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11124 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11125 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11126 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11127 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11128 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11129 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11130 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11131 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11132 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11133 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11134 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11135 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11136 #INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11137 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11138 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11139 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11140 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11141 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11142 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11143 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11144 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11145 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11146 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11147 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11148 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11149 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11150 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11151 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11152 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11153 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11154 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11155 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11156 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11157 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11158 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11159 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11160 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11161 
11162 INST "decoder_inst/compExch_Layer_03_to_04_sites_57_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_57_61";
11163 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_57_61" RANGE=SLICE_X152Y2:SLICE_X161Y233;
11164 
11165 
11166 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/M*" U_SET="uset_compExch_Layer_03_to_04_sites_58_62";
11167 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11168 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11169 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11170 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11171 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11172 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11173 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11174 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11175 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11176 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11177 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11178 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11179 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11180 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11181 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11182 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11183 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11184 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11185 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11186 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11187 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11188 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11189 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11190 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11191 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11192 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11193 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11194 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11195 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11196 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11197 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11198 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11199 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11200 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11201 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11202 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11203 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11204 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11205 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11206 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11207 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11208 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11209 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11210 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11211 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11212 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11213 #INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11214 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11215 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11216 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11217 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11218 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11219 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11220 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11221 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11222 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11223 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11224 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11225 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11226 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11227 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11228 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11229 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11230 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11231 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11232 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11233 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11234 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11235 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11236 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11237 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11238 
11239 INST "decoder_inst/compExch_Layer_03_to_04_sites_58_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_58_62";
11240 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_58_62" RANGE=SLICE_X152Y2:SLICE_X161Y233;
11241 
11242 
11243 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/M*" U_SET="uset_compExch_Layer_03_to_04_sites_59_63";
11244 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11245 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11246 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11247 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11248 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11249 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11250 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11251 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11252 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11253 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11254 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11255 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11256 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11257 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11258 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11259 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11260 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11261 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11262 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11263 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11264 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11265 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11266 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11267 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11268 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11269 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11270 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11271 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11272 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11273 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11274 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11275 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11276 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11277 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11278 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11279 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11280 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11281 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11282 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11283 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11284 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11285 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11286 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11287 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11288 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11289 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11290 #INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11291 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11292 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11293 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11294 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11295 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11296 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11297 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11298 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11299 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11300 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11301 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11302 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11303 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11304 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11305 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11306 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11307 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11308 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11309 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11310 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11311 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11312 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11313 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11314 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11315 
11316 INST "decoder_inst/compExch_Layer_03_to_04_sites_59_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_03_to_04_sites_59_63";
11317 AREA_GROUP "AREA_compExch_Layer_03_to_04_sites_59_63" RANGE=SLICE_X152Y2:SLICE_X161Y233;
11318 
11319 
11320 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/M*" U_SET="uset_compExch_Layer_04_to_05_sites_58_60";
11321 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11322 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11323 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11324 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11325 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11326 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11327 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11328 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11329 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11330 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11331 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11332 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11333 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11334 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11335 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11336 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11337 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11338 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11339 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11340 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11341 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11342 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11343 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11344 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11345 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11346 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11347 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11348 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11349 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11350 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11351 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11352 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11353 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11354 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11355 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11356 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11357 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11358 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11359 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11360 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11361 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11362 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11363 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11364 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11365 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11366 #INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11367 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11368 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11369 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11370 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11371 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11372 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11373 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11374 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11375 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11376 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11377 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11378 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11379 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11380 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11381 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11382 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11383 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11384 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11385 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11386 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11387 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11388 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11389 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11390 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11391 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11392 
11393 INST "decoder_inst/compExch_Layer_04_to_05_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_58_60";
11394 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_58_60" RANGE=SLICE_X156Y2:SLICE_X165Y233;
11395 
11396 
11397 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/M*" U_SET="uset_compExch_Layer_04_to_05_sites_59_61";
11398 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11399 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11400 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11401 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11402 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11403 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11404 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11405 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11406 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11407 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11408 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11409 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11410 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11411 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11412 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11413 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11414 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11415 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11416 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11417 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11418 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11419 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11420 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11421 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11422 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11423 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11424 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11425 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11426 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11427 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11428 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11429 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11430 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11431 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11432 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11433 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11434 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11435 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11436 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11437 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11438 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11439 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11440 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11441 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11442 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11443 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11444 #INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11445 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11446 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11447 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11448 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11449 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11450 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11451 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11452 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11453 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11454 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11455 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11456 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11457 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11458 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11459 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11460 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11461 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11462 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11463 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11464 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11465 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11466 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11467 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11468 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11469 
11470 INST "decoder_inst/compExch_Layer_04_to_05_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_04_to_05_sites_59_61";
11471 AREA_GROUP "AREA_compExch_Layer_04_to_05_sites_59_61" RANGE=SLICE_X156Y2:SLICE_X165Y233;
11472 
11473 
11474 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/M*" U_SET="uset_compExch_Layer_05_to_06_sites_57_58";
11475 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11476 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11477 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11478 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11479 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11480 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11481 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11482 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11483 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11484 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11485 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11486 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11487 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11488 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11489 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11490 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11491 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11492 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11493 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11494 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11495 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11496 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11497 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11498 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11499 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11500 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11501 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11502 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11503 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11504 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11505 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11506 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11507 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11508 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11509 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11510 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11511 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11512 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11513 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11514 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11515 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11516 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11517 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11518 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11519 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11520 #INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11521 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11522 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11523 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11524 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11525 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11526 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11527 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11528 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11529 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11530 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11531 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11532 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11533 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11534 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11535 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11536 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11537 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11538 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11539 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11540 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11541 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11542 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11543 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11544 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11545 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11546 
11547 INST "decoder_inst/compExch_Layer_05_to_06_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_57_58";
11548 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_57_58" RANGE=SLICE_X160Y2:SLICE_X169Y233;
11549 
11550 
11551 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/M*" U_SET="uset_compExch_Layer_05_to_06_sites_59_60";
11552 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11553 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11554 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11555 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11556 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11557 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11558 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11559 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11560 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11561 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11562 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11563 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11564 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11565 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11566 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11567 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11568 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11569 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11570 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11571 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11572 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11573 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11574 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11575 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11576 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11577 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11578 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11579 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11580 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11581 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11582 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11583 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11584 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11585 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11586 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11587 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11588 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11589 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11590 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11591 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11592 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11593 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11594 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11595 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11596 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11597 #INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11598 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11599 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11600 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11601 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11602 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11603 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11604 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11605 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11606 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11607 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11608 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11609 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11610 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11611 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11612 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11613 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11614 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11615 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11616 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11617 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11618 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11619 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11620 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11621 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11622 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11623 
11624 INST "decoder_inst/compExch_Layer_05_to_06_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_59_60";
11625 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_59_60" RANGE=SLICE_X160Y2:SLICE_X169Y233;
11626 
11627 
11628 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/M*" U_SET="uset_compExch_Layer_05_to_06_sites_61_62";
11629 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11630 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11631 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11632 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11633 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11634 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11635 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11636 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11637 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11638 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11639 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11640 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11641 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11642 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11643 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11644 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11645 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11646 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11647 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11648 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11649 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11650 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11651 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11652 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11653 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11654 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11655 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11656 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11657 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11658 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11659 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11660 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11661 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11662 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11663 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11664 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11665 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11666 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11667 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11668 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11669 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11670 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11671 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11672 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11673 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11674 #INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11675 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11676 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11677 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11678 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11679 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11680 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11681 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11682 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11683 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11684 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11685 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11686 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11687 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11688 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11689 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11690 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11691 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11692 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11693 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11694 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11695 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11696 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11697 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11698 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11699 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11700 
11701 INST "decoder_inst/compExch_Layer_05_to_06_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_05_to_06_sites_61_62";
11702 AREA_GROUP "AREA_compExch_Layer_05_to_06_sites_61_62" RANGE=SLICE_X160Y2:SLICE_X169Y233;
11703 
11704 
11705 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/M*" U_SET="uset_compExch_Layer_06_to_07_sites_00_08";
11706 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11707 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11708 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11709 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11710 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11711 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11712 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11713 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11714 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11715 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11716 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11717 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11718 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11719 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11720 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11721 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11722 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11723 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11724 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11725 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11726 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11727 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11728 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11729 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11730 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11731 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11732 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11733 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11734 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11735 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11736 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11737 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11738 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11739 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11740 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11741 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11742 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11743 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11744 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11745 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11746 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11747 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11748 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11749 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11750 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11751 #INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11752 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11753 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11754 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11755 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11756 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11757 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11758 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11759 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11760 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11761 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11762 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11763 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11764 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11765 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11766 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11767 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11768 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11769 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11770 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11771 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11772 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11773 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11774 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11775 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11776 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11777 
11778 INST "decoder_inst/compExch_Layer_06_to_07_sites_00_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_00_08";
11779 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_00_08" RANGE=SLICE_X164Y2:SLICE_X173Y233;
11780 
11781 
11782 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/M*" U_SET="uset_compExch_Layer_06_to_07_sites_01_09";
11783 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11784 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11785 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11786 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11787 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11788 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11789 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11790 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11791 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11792 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11793 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11794 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11795 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11796 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11797 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11798 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11799 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11800 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11801 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11802 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11803 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11804 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11805 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11806 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11807 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11808 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11809 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11810 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11811 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11812 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11813 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11814 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11815 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11816 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11817 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11818 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11819 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11820 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11821 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11822 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11823 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11824 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11825 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11826 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11827 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11828 #INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11829 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11830 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11831 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11832 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11833 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11834 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11835 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11836 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11837 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11838 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11839 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11840 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11841 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11842 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11843 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11844 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11845 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11846 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11847 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11848 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11849 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11850 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11851 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11852 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11853 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11854 
11855 INST "decoder_inst/compExch_Layer_06_to_07_sites_01_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_01_09";
11856 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_01_09" RANGE=SLICE_X164Y2:SLICE_X173Y233;
11857 
11858 
11859 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/M*" U_SET="uset_compExch_Layer_06_to_07_sites_02_10";
11860 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11861 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11862 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11863 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11864 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11865 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11866 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11867 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11868 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11869 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11870 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11871 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11872 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11873 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11874 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11875 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11876 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11877 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11878 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11879 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11880 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11881 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11882 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11883 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11884 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11885 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11886 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11887 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11888 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11889 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11890 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11891 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11892 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11893 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11894 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11895 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11896 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11897 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11898 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11899 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11900 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11901 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11902 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11903 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11904 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11905 #INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11906 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11907 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11908 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11909 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11910 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11911 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11912 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11913 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11914 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11915 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11916 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11917 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11918 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11919 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11920 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11921 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11922 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
11923 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
11924 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
11925 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
11926 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
11927 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
11928 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
11929 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
11930 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
11931 
11932 INST "decoder_inst/compExch_Layer_06_to_07_sites_02_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_02_10";
11933 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_02_10" RANGE=SLICE_X164Y2:SLICE_X173Y233;
11934 
11935 
11936 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/M*" U_SET="uset_compExch_Layer_06_to_07_sites_03_11";
11937 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
11938 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
11939 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
11940 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
11941 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
11942 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
11943 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
11944 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
11945 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
11946 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
11947 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
11948 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
11949 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
11950 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
11951 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
11952 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
11953 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
11954 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
11955 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
11956 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
11957 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
11958 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
11959 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
11960 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
11961 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
11962 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
11963 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
11964 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
11965 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
11966 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
11967 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
11968 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
11969 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
11970 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
11971 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
11972 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
11973 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
11974 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
11975 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
11976 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
11977 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
11978 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
11979 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
11980 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
11981 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
11982 #INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
11983 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
11984 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
11985 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
11986 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
11987 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
11988 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
11989 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
11990 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
11991 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
11992 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
11993 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
11994 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
11995 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
11996 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
11997 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
11998 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
11999 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12000 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12001 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12002 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12003 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12004 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12005 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12006 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12007 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12008 
12009 INST "decoder_inst/compExch_Layer_06_to_07_sites_03_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_03_11";
12010 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_03_11" RANGE=SLICE_X164Y2:SLICE_X173Y233;
12011 
12012 
12013 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/M*" U_SET="uset_compExch_Layer_06_to_07_sites_04_12";
12014 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12015 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12016 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12017 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12018 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12019 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12020 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12021 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12022 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12023 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12024 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12025 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12026 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12027 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12028 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12029 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12030 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12031 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12032 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12033 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12034 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12035 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12036 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12037 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12038 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12039 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12040 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12041 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12042 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12043 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12044 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12045 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12046 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12047 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12048 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12049 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12050 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12051 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12052 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12053 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12054 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12055 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12056 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12057 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12058 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12059 #INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12060 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12061 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12062 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12063 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12064 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12065 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12066 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12067 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12068 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12069 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12070 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12071 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12072 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12073 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12074 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12075 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12076 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12077 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12078 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12079 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12080 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12081 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12082 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12083 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12084 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12085 
12086 INST "decoder_inst/compExch_Layer_06_to_07_sites_04_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_04_12";
12087 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_04_12" RANGE=SLICE_X164Y2:SLICE_X173Y233;
12088 
12089 
12090 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/M*" U_SET="uset_compExch_Layer_06_to_07_sites_05_13";
12091 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12092 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12093 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12094 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12095 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12096 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12097 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12098 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12099 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12100 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12101 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12102 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12103 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12104 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12105 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12106 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12107 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12108 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12109 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12110 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12111 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12112 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12113 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12114 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12115 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12116 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12117 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12118 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12119 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12120 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12121 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12122 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12123 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12124 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12125 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12126 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12127 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12128 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12129 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12130 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12131 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12132 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12133 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12134 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12135 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12136 #INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12137 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12138 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12139 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12140 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12141 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12142 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12143 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12144 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12145 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12146 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12147 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12148 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12149 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12150 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12151 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12152 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12153 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12154 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12155 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12156 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12157 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12158 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12159 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12160 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12161 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12162 
12163 INST "decoder_inst/compExch_Layer_06_to_07_sites_05_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_05_13";
12164 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_05_13" RANGE=SLICE_X164Y2:SLICE_X173Y233;
12165 
12166 
12167 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/M*" U_SET="uset_compExch_Layer_06_to_07_sites_06_14";
12168 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12169 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12170 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12171 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12172 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12173 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12174 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12175 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12176 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12177 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12178 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12179 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12180 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12181 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12182 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12183 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12184 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12185 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12186 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12187 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12188 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12189 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12190 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12191 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12192 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12193 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12194 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12195 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12196 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12197 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12198 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12199 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12200 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12201 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12202 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12203 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12204 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12205 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12206 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12207 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12208 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12209 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12210 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12211 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12212 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12213 #INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12214 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12215 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12216 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12217 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12218 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12219 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12220 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12221 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12222 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12223 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12224 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12225 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12226 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12227 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12228 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12229 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12230 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12231 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12232 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12233 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12234 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12235 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12236 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12237 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12238 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12239 
12240 INST "decoder_inst/compExch_Layer_06_to_07_sites_06_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_06_14";
12241 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_06_14" RANGE=SLICE_X164Y2:SLICE_X173Y233;
12242 
12243 
12244 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/M*" U_SET="uset_compExch_Layer_06_to_07_sites_07_15";
12245 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12246 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12247 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12248 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12249 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12250 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12251 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12252 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12253 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12254 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12255 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12256 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12257 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12258 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12259 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12260 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12261 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12262 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12263 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12264 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12265 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12266 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12267 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12268 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12269 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12270 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12271 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12272 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12273 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12274 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12275 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12276 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12277 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12278 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12279 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12280 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12281 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12282 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12283 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12284 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12285 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12286 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12287 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12288 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12289 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12290 #INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12291 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12292 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12293 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12294 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12295 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12296 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12297 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12298 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12299 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12300 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12301 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12302 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12303 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12304 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12305 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12306 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12307 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12308 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12309 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12310 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12311 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12312 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12313 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12314 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12315 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12316 
12317 INST "decoder_inst/compExch_Layer_06_to_07_sites_07_15/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_07_15";
12318 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_07_15" RANGE=SLICE_X164Y2:SLICE_X173Y233;
12319 
12320 
12321 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/M*" U_SET="uset_compExch_Layer_07_to_08_sites_04_08";
12322 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12323 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12324 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12325 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12326 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12327 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12328 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12329 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12330 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12331 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12332 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12333 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12334 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12335 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12336 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12337 #INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12338 #INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12339 #INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12340 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12341 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12342 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12343 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12344 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12345 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12346 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12347 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12348 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12349 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12350 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12351 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12352 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12353 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12354 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12355 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12356 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12357 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12358 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12359 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12360 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12361 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12362 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12363 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12364 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12365 #INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12366 #INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12367 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12368 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12369 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12370 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12371 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12372 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12373 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12374 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12375 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12376 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12377 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12378 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12379 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12380 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12381 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12382 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12383 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12384 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12385 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12386 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12387 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12388 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12389 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12390 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12391 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12392 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12393 
12394 INST "decoder_inst/compExch_Layer_07_to_08_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_04_08";
12395 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_04_08" RANGE=SLICE_X168Y2:SLICE_X177Y233;
12396 
12397 
12398 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/M*" U_SET="uset_compExch_Layer_07_to_08_sites_05_09";
12399 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12400 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12401 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12402 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12403 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12404 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12405 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12406 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12407 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12408 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12409 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12410 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12411 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12412 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12413 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12414 #INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12415 #INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12416 #INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12417 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12418 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12419 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12420 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12421 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12422 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12423 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12424 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12425 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12426 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12427 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12428 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12429 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12430 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12431 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12432 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12433 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12434 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12435 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12436 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12437 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12438 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12439 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12440 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12441 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12442 #INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12443 #INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12444 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12445 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12446 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12447 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12448 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12449 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12450 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12451 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12452 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12453 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12454 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12455 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12456 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12457 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12458 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12459 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12460 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12461 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12462 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12463 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12464 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12465 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12466 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12467 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12468 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12469 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12470 
12471 INST "decoder_inst/compExch_Layer_07_to_08_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_05_09";
12472 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_05_09" RANGE=SLICE_X168Y2:SLICE_X177Y233;
12473 
12474 
12475 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/M*" U_SET="uset_compExch_Layer_07_to_08_sites_06_10";
12476 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12477 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12478 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12479 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12480 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12481 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12482 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12483 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12484 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12485 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12486 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12487 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12488 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12489 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12490 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12491 #INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12492 #INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12493 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12494 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12495 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12496 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12497 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12498 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12499 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12500 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12501 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12502 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12503 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12504 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12505 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12506 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12507 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12508 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12509 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12510 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12511 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12512 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12513 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12514 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12515 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12516 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12517 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12518 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12519 #INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12520 #INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12521 #INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12522 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12523 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12524 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12525 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12526 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12527 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12528 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12529 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12530 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12531 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12532 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12533 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12534 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12535 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12536 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12537 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12538 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12539 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12540 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12541 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12542 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12543 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12544 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12545 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12546 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12547 
12548 INST "decoder_inst/compExch_Layer_07_to_08_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_06_10";
12549 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_06_10" RANGE=SLICE_X168Y2:SLICE_X177Y233;
12550 
12551 
12552 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/M*" U_SET="uset_compExch_Layer_07_to_08_sites_07_11";
12553 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12554 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12555 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12556 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12557 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12558 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12559 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12560 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12561 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12562 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12563 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12564 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12565 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12566 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12567 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12568 #INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12569 #INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12570 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12571 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12572 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12573 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12574 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12575 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12576 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12577 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12578 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12579 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12580 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12581 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12582 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12583 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12584 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12585 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12586 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12587 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12588 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12589 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12590 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12591 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12592 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12593 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12594 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12595 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12596 #INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12597 #INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12598 #INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12599 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12600 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12601 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12602 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12603 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12604 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12605 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12606 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12607 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12608 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12609 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12610 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12611 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12612 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12613 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12614 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12615 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12616 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12617 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12618 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12619 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12620 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12621 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12622 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12623 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12624 
12625 INST "decoder_inst/compExch_Layer_07_to_08_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_07_11";
12626 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_07_11" RANGE=SLICE_X168Y2:SLICE_X177Y233;
12627 
12628 
12629 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/M*" U_SET="uset_compExch_Layer_08_to_09_sites_02_04";
12630 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12631 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12632 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12633 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12634 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12635 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12636 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12637 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12638 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12639 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12640 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12641 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12642 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12643 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12644 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12645 #INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12646 #INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12647 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12648 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12649 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12650 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12651 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12652 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12653 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12654 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12655 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12656 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12657 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12658 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12659 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12660 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12661 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12662 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12663 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12664 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12665 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12666 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12667 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12668 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12669 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12670 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12671 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12672 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12673 #INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12674 #INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12675 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12676 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12677 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12678 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12679 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12680 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12681 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12682 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12683 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12684 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12685 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12686 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12687 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12688 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12689 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12690 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12691 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12692 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12693 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12694 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12695 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12696 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12697 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12698 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12699 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12700 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12701 
12702 INST "decoder_inst/compExch_Layer_08_to_09_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_02_04";
12703 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_02_04" RANGE=SLICE_X172Y2:SLICE_X181Y233;
12704 
12705 
12706 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/M*" U_SET="uset_compExch_Layer_08_to_09_sites_03_05";
12707 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12708 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12709 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12710 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12711 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12712 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12713 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12714 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12715 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12716 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12717 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12718 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12719 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12720 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12721 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12722 #INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12723 #INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12724 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12725 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12726 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12727 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12728 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12729 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12730 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12731 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12732 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12733 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12734 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12735 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12736 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12737 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12738 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12739 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12740 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12741 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12742 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12743 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12744 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12745 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12746 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12747 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12748 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12749 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12750 #INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12751 #INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12752 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12753 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12754 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12755 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12756 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12757 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12758 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12759 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12760 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12761 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12762 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12763 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12764 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12765 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12766 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12767 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12768 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12769 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12770 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12771 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12772 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12773 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12774 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12775 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12776 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12777 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12778 
12779 INST "decoder_inst/compExch_Layer_08_to_09_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_03_05";
12780 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_03_05" RANGE=SLICE_X172Y2:SLICE_X181Y233;
12781 
12782 
12783 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/M*" U_SET="uset_compExch_Layer_08_to_09_sites_06_08";
12784 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12785 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12786 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12787 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12788 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12789 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12790 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12791 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12792 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12793 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12794 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12795 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12796 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12797 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12798 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12799 #INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12800 #INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12801 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12802 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12803 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12804 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12805 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12806 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12807 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12808 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12809 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12810 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12811 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12812 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12813 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12814 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12815 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12816 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12817 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12818 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12819 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12820 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12821 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12822 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12823 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12824 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12825 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12826 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12827 #INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12828 #INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12829 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12830 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12831 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12832 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12833 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12834 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12835 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12836 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12837 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12838 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12839 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12840 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12841 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12842 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12843 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12844 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12845 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12846 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12847 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12848 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12849 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12850 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12851 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12852 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12853 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12854 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12855 
12856 INST "decoder_inst/compExch_Layer_08_to_09_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_06_08";
12857 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_06_08" RANGE=SLICE_X172Y2:SLICE_X181Y233;
12858 
12859 
12860 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/M*" U_SET="uset_compExch_Layer_08_to_09_sites_07_09";
12861 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12862 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12863 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12864 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12865 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12866 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12867 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12868 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12869 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12870 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12871 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12872 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12873 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12874 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12875 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12876 #INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12877 #INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12878 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12879 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12880 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12881 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12882 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12883 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12884 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12885 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12886 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12887 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12888 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12889 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12890 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12891 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12892 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12893 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12894 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12895 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12896 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12897 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12898 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12899 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12900 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12901 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12902 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12903 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12904 #INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12905 #INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12906 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12907 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12908 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12909 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12910 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12911 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12912 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12913 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12914 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12915 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12916 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12917 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12918 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12919 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12920 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12921 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12922 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
12923 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
12924 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
12925 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
12926 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
12927 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
12928 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
12929 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
12930 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
12931 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
12932 
12933 INST "decoder_inst/compExch_Layer_08_to_09_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_07_09";
12934 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_07_09" RANGE=SLICE_X172Y2:SLICE_X181Y233;
12935 
12936 
12937 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/M*" U_SET="uset_compExch_Layer_08_to_09_sites_10_12";
12938 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
12939 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
12940 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
12941 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
12942 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
12943 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
12944 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
12945 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
12946 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
12947 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
12948 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
12949 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
12950 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
12951 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
12952 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
12953 #INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
12954 #INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
12955 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
12956 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
12957 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
12958 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
12959 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
12960 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
12961 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
12962 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
12963 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
12964 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
12965 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
12966 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
12967 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
12968 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
12969 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
12970 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
12971 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
12972 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
12973 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
12974 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
12975 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
12976 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
12977 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
12978 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
12979 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
12980 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
12981 #INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
12982 #INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
12983 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
12984 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
12985 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
12986 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
12987 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
12988 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
12989 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
12990 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
12991 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
12992 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
12993 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
12994 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
12995 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
12996 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
12997 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
12998 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
12999 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13000 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13001 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13002 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13003 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13004 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13005 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13006 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13007 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13008 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13009 
13010 INST "decoder_inst/compExch_Layer_08_to_09_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_10_12";
13011 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_10_12" RANGE=SLICE_X172Y2:SLICE_X181Y233;
13012 
13013 
13014 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/M*" U_SET="uset_compExch_Layer_08_to_09_sites_11_13";
13015 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13016 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13017 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13018 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13019 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13020 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13021 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13022 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13023 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13024 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13025 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13026 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13027 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13028 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13029 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13030 #INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13031 #INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13032 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13033 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13034 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13035 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13036 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13037 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13038 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13039 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13040 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13041 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13042 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13043 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13044 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13045 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13046 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13047 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13048 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13049 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13050 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13051 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13052 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13053 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13054 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13055 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13056 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13057 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13058 #INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13059 #INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13060 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13061 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13062 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13063 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13064 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13065 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13066 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13067 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13068 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13069 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13070 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13071 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13072 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13073 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13074 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13075 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13076 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13077 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13078 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13079 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13080 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13081 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13082 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13083 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13084 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13085 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13086 
13087 INST "decoder_inst/compExch_Layer_08_to_09_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_11_13";
13088 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_11_13" RANGE=SLICE_X172Y2:SLICE_X181Y233;
13089 
13090 
13091 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/M*" U_SET="uset_compExch_Layer_09_to_10_sites_01_02";
13092 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13093 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13094 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13095 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13096 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13097 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13098 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13099 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13100 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13101 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13102 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13103 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13104 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13105 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13106 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13107 #INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13108 #INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13109 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13110 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13111 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13112 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13113 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13114 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13115 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13116 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13117 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13118 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13119 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13120 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13121 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13122 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13123 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13124 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13125 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13126 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13127 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13128 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13129 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13130 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13131 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13132 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13133 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13134 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13135 #INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13136 #INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13137 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13138 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13139 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13140 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13141 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13142 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13143 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13144 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13145 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13146 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13147 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13148 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13149 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13150 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13151 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13152 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13153 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13154 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13155 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13156 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13157 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13158 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13159 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13160 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13161 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13162 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13163 
13164 INST "decoder_inst/compExch_Layer_09_to_10_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_01_02";
13165 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_01_02" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13166 
13167 
13168 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/M*" U_SET="uset_compExch_Layer_09_to_10_sites_03_04";
13169 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13170 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13171 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13172 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13173 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13174 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13175 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13176 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13177 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13178 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13179 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13180 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13181 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13182 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13183 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13184 #INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13185 #INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13186 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13187 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13188 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13189 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13190 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13191 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13192 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13193 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13194 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13195 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13196 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13197 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13198 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13199 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13200 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13201 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13202 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13203 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13204 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13205 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13206 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13207 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13208 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13209 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13210 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13211 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13212 #INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13213 #INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13214 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13215 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13216 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13217 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13218 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13219 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13220 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13221 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13222 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13223 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13224 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13225 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13226 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13227 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13228 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13229 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13230 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13231 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13232 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13233 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13234 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13235 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13236 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13237 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13238 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13239 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13240 
13241 INST "decoder_inst/compExch_Layer_09_to_10_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_03_04";
13242 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_03_04" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13243 
13244 
13245 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/M*" U_SET="uset_compExch_Layer_09_to_10_sites_05_06";
13246 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13247 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13248 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13249 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13250 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13251 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13252 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13253 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13254 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13255 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13256 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13257 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13258 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13259 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13260 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13261 #INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13262 #INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13263 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13264 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13265 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13266 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13267 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13268 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13269 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13270 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13271 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13272 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13273 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13274 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13275 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13276 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13277 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13278 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13279 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13280 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13281 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13282 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13283 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13284 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13285 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13286 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13287 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13288 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13289 #INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13290 #INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13291 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13292 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13293 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13294 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13295 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13296 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13297 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13298 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13299 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13300 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13301 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13302 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13303 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13304 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13305 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13306 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13307 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13308 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13309 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13310 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13311 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13312 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13313 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13314 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13315 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13316 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13317 
13318 INST "decoder_inst/compExch_Layer_09_to_10_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_05_06";
13319 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_05_06" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13320 
13321 
13322 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/M*" U_SET="uset_compExch_Layer_09_to_10_sites_07_08";
13323 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13324 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13325 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13326 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13327 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13328 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13329 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13330 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13331 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13332 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13333 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13334 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13335 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13336 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13337 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13338 #INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13339 #INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13340 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13341 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13342 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13343 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13344 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13345 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13346 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13347 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13348 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13349 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13350 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13351 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13352 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13353 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13354 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13355 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13356 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13357 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13358 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13359 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13360 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13361 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13362 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13363 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13364 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13365 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13366 #INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13367 #INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13368 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13369 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13370 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13371 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13372 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13373 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13374 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13375 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13376 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13377 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13378 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13379 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13380 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13381 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13382 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13383 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13384 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13385 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13386 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13387 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13388 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13389 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13390 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13391 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13392 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13393 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13394 
13395 INST "decoder_inst/compExch_Layer_09_to_10_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_07_08";
13396 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_07_08" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13397 
13398 
13399 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/M*" U_SET="uset_compExch_Layer_09_to_10_sites_09_10";
13400 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13401 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13402 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13403 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13404 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13405 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13406 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13407 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13408 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13409 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13410 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13411 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13412 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13413 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13414 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13415 #INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13416 #INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13417 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13418 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13419 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13420 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13421 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13422 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13423 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13424 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13425 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13426 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13427 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13428 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13429 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13430 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13431 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13432 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13433 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13434 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13435 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13436 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13437 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13438 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13439 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13440 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13441 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13442 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13443 #INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13444 #INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13445 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13446 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13447 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13448 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13449 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13450 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13451 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13452 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13453 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13454 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13455 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13456 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13457 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13458 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13459 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13460 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13461 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13462 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13463 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13464 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13465 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13466 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13467 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13468 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13469 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13470 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13471 
13472 INST "decoder_inst/compExch_Layer_09_to_10_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_09_10";
13473 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_09_10" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13474 
13475 
13476 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/M*" U_SET="uset_compExch_Layer_09_to_10_sites_11_12";
13477 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13478 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13479 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13480 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13481 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13482 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13483 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13484 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13485 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13486 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13487 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13488 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13489 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13490 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13491 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13492 #INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13493 #INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13494 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13495 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13496 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13497 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13498 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13499 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13500 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13501 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13502 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13503 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13504 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13505 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13506 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13507 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13508 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13509 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13510 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13511 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13512 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13513 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13514 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13515 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13516 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13517 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13518 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13519 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13520 #INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13521 #INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13522 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13523 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13524 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13525 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13526 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13527 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13528 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13529 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13530 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13531 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13532 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13533 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13534 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13535 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13536 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13537 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13538 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13539 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13540 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13541 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13542 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13543 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13544 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13545 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13546 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13547 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13548 
13549 INST "decoder_inst/compExch_Layer_09_to_10_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_11_12";
13550 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_11_12" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13551 
13552 
13553 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/M*" U_SET="uset_compExch_Layer_09_to_10_sites_13_14";
13554 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13555 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13556 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13557 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13558 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13559 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13560 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13561 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13562 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13563 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13564 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13565 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13566 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13567 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13568 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13569 #INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13570 #INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13571 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13572 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13573 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13574 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13575 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13576 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13577 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13578 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13579 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13580 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13581 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13582 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13583 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13584 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13585 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13586 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13587 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13588 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13589 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13590 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13591 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13592 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13593 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13594 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13595 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13596 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13597 #INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13598 #INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13599 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13600 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13601 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13602 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13603 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13604 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13605 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13606 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13607 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13608 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13609 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13610 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13611 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13612 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13613 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13614 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13615 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13616 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13617 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13618 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13619 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13620 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13621 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13622 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13623 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13624 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13625 
13626 INST "decoder_inst/compExch_Layer_09_to_10_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_13_14";
13627 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_13_14" RANGE=SLICE_X176Y2:SLICE_X185Y233;
13628 
13629 
13630 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/M*" U_SET="uset_compExch_Layer_06_to_07_sites_16_24";
13631 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13632 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13633 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13634 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13635 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13636 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13637 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13638 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13639 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13640 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13641 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13642 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13643 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13644 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13645 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13646 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13647 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13648 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13649 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13650 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13651 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13652 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13653 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13654 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13655 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13656 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13657 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13658 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13659 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13660 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13661 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13662 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13663 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13664 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13665 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13666 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13667 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13668 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13669 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13670 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13671 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13672 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13673 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13674 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13675 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13676 #INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13677 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13678 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13679 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13680 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13681 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13682 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13683 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13684 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13685 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13686 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13687 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13688 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13689 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13690 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13691 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13692 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13693 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13694 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13695 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13696 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13697 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13698 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13699 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13700 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13701 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13702 
13703 INST "decoder_inst/compExch_Layer_06_to_07_sites_16_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_16_24";
13704 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_16_24" RANGE=SLICE_X164Y2:SLICE_X173Y233;
13705 
13706 
13707 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/M*" U_SET="uset_compExch_Layer_06_to_07_sites_17_25";
13708 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13709 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13710 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13711 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13712 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13713 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13714 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13715 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13716 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13717 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13718 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13719 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13720 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13721 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13722 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13723 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13724 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13725 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13726 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13727 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13728 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13729 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13730 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13731 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13732 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13733 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13734 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13735 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13736 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13737 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13738 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13739 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13740 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13741 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13742 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13743 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13744 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13745 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13746 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13747 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13748 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13749 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13750 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13751 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13752 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13753 #INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13754 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13755 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13756 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13757 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13758 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13759 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13760 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13761 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13762 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13763 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13764 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13765 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13766 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13767 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13768 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13769 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13770 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13771 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13772 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13773 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13774 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13775 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13776 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13777 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13778 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13779 
13780 INST "decoder_inst/compExch_Layer_06_to_07_sites_17_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_17_25";
13781 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_17_25" RANGE=SLICE_X164Y2:SLICE_X173Y233;
13782 
13783 
13784 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/M*" U_SET="uset_compExch_Layer_06_to_07_sites_18_26";
13785 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13786 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13787 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13788 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13789 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13790 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13791 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13792 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13793 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13794 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13795 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13796 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13797 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13798 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13799 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13800 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13801 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13802 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13803 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13804 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13805 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13806 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13807 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13808 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13809 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13810 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13811 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13812 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13813 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13814 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13815 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13816 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13817 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13818 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13819 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13820 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13821 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13822 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13823 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13824 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13825 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13826 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13827 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13828 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13829 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13830 #INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13831 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13832 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13833 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13834 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13835 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13836 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13837 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13838 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13839 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13840 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13841 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13842 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13843 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13844 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13845 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13846 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13847 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13848 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13849 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13850 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13851 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13852 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13853 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13854 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13855 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13856 
13857 INST "decoder_inst/compExch_Layer_06_to_07_sites_18_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_18_26";
13858 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_18_26" RANGE=SLICE_X164Y2:SLICE_X173Y233;
13859 
13860 
13861 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/M*" U_SET="uset_compExch_Layer_06_to_07_sites_19_27";
13862 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13863 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13864 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13865 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13866 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13867 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13868 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13869 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13870 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13871 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13872 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13873 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13874 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13875 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13876 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13877 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13878 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13879 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13880 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13881 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13882 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13883 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13884 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13885 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13886 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13887 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13888 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13889 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13890 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13891 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13892 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13893 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13894 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13895 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13896 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13897 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13898 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13899 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13900 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13901 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13902 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13903 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13904 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13905 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13906 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13907 #INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13908 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13909 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13910 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13911 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13912 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13913 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13914 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13915 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13916 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13917 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13918 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13919 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13920 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13921 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13922 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
13923 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
13924 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
13925 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
13926 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
13927 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
13928 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
13929 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
13930 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
13931 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
13932 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
13933 
13934 INST "decoder_inst/compExch_Layer_06_to_07_sites_19_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_19_27";
13935 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_19_27" RANGE=SLICE_X164Y2:SLICE_X173Y233;
13936 
13937 
13938 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/M*" U_SET="uset_compExch_Layer_06_to_07_sites_20_28";
13939 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
13940 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
13941 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
13942 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
13943 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
13944 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
13945 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
13946 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
13947 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
13948 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
13949 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
13950 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
13951 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
13952 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
13953 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
13954 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
13955 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
13956 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
13957 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
13958 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
13959 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
13960 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
13961 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
13962 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
13963 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
13964 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
13965 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
13966 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
13967 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
13968 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
13969 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
13970 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
13971 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
13972 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
13973 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
13974 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
13975 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
13976 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
13977 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
13978 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
13979 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
13980 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
13981 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
13982 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
13983 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
13984 #INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
13985 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
13986 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
13987 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
13988 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
13989 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
13990 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
13991 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
13992 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
13993 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
13994 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
13995 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
13996 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
13997 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
13998 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
13999 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14000 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14001 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14002 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14003 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14004 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14005 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14006 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14007 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14008 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14009 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14010 
14011 INST "decoder_inst/compExch_Layer_06_to_07_sites_20_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_20_28";
14012 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_20_28" RANGE=SLICE_X164Y2:SLICE_X173Y233;
14013 
14014 
14015 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/M*" U_SET="uset_compExch_Layer_06_to_07_sites_21_29";
14016 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14017 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14018 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14019 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14020 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14021 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14022 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14023 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14024 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14025 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14026 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14027 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14028 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14029 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14030 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14031 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14032 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14033 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14034 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14035 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14036 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14037 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14038 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14039 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14040 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14041 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14042 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14043 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14044 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14045 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14046 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14047 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14048 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14049 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14050 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14051 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14052 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14053 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14054 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14055 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14056 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14057 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14058 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14059 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14060 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14061 #INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14062 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14063 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14064 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14065 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14066 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14067 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14068 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14069 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14070 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14071 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14072 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14073 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14074 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14075 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14076 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14077 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14078 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14079 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14080 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14081 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14082 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14083 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14084 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14085 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14086 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14087 
14088 INST "decoder_inst/compExch_Layer_06_to_07_sites_21_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_21_29";
14089 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_21_29" RANGE=SLICE_X164Y2:SLICE_X173Y233;
14090 
14091 
14092 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/M*" U_SET="uset_compExch_Layer_06_to_07_sites_22_30";
14093 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14094 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14095 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14096 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14097 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14098 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14099 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14100 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14101 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14102 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14103 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14104 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14105 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14106 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14107 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14108 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14109 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14110 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14111 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14112 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14113 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14114 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14115 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14116 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14117 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14118 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14119 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14120 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14121 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14122 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14123 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14124 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14125 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14126 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14127 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14128 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14129 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14130 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14131 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14132 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14133 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14134 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14135 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14136 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14137 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14138 #INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14139 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14140 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14141 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14142 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14143 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14144 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14145 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14146 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14147 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14148 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14149 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14150 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14151 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14152 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14153 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14154 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14155 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14156 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14157 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14158 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14159 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14160 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14161 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14162 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14163 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14164 
14165 INST "decoder_inst/compExch_Layer_06_to_07_sites_22_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_22_30";
14166 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_22_30" RANGE=SLICE_X164Y2:SLICE_X173Y233;
14167 
14168 
14169 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/M*" U_SET="uset_compExch_Layer_06_to_07_sites_23_31";
14170 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14171 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14172 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14173 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14174 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14175 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14176 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14177 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14178 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14179 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14180 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14181 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14182 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14183 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14184 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14185 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14186 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14187 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14188 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14189 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14190 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14191 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14192 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14193 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14194 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14195 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14196 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14197 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14198 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14199 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14200 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14201 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14202 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14203 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14204 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14205 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14206 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14207 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14208 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14209 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14210 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14211 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14212 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14213 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14214 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14215 #INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14216 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14217 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14218 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14219 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14220 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14221 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14222 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14223 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14224 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14225 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14226 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14227 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14228 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14229 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14230 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14231 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14232 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14233 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14234 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14235 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14236 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14237 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14238 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14239 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14240 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14241 
14242 INST "decoder_inst/compExch_Layer_06_to_07_sites_23_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_23_31";
14243 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_23_31" RANGE=SLICE_X164Y2:SLICE_X173Y233;
14244 
14245 
14246 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/M*" U_SET="uset_compExch_Layer_07_to_08_sites_20_24";
14247 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14248 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14249 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14250 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14251 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14252 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14253 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14254 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14255 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14256 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14257 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14258 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14259 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14260 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14261 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14262 #INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14263 #INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14264 #INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14265 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14266 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14267 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14268 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14269 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14270 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14271 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14272 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14273 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14274 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14275 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14276 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14277 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14278 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14279 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14280 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14281 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14282 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14283 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14284 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14285 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14286 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14287 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14288 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14289 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14290 #INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14291 #INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14292 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14293 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14294 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14295 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14296 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14297 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14298 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14299 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14300 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14301 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14302 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14303 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14304 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14305 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14306 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14307 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14308 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14309 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14310 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14311 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14312 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14313 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14314 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14315 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14316 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14317 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14318 
14319 INST "decoder_inst/compExch_Layer_07_to_08_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_20_24";
14320 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_20_24" RANGE=SLICE_X168Y2:SLICE_X177Y233;
14321 
14322 
14323 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/M*" U_SET="uset_compExch_Layer_07_to_08_sites_21_25";
14324 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14325 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14326 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14327 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14328 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14329 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14330 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14331 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14332 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14333 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14334 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14335 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14336 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14337 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14338 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14339 #INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14340 #INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14341 #INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14342 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14343 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14344 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14345 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14346 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14347 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14348 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14349 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14350 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14351 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14352 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14353 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14354 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14355 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14356 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14357 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14358 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14359 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14360 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14361 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14362 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14363 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14364 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14365 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14366 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14367 #INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14368 #INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14369 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14370 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14371 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14372 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14373 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14374 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14375 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14376 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14377 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14378 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14379 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14380 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14381 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14382 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14383 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14384 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14385 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14386 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14387 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14388 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14389 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14390 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14391 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14392 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14393 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14394 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14395 
14396 INST "decoder_inst/compExch_Layer_07_to_08_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_21_25";
14397 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_21_25" RANGE=SLICE_X168Y2:SLICE_X177Y233;
14398 
14399 
14400 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/M*" U_SET="uset_compExch_Layer_07_to_08_sites_22_26";
14401 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14402 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14403 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14404 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14405 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14406 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14407 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14408 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14409 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14410 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14411 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14412 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14413 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14414 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14415 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14416 #INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14417 #INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14418 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14419 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14420 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14421 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14422 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14423 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14424 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14425 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14426 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14427 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14428 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14429 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14430 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14431 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14432 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14433 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14434 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14435 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14436 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14437 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14438 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14439 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14440 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14441 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14442 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14443 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14444 #INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14445 #INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14446 #INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14447 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14448 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14449 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14450 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14451 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14452 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14453 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14454 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14455 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14456 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14457 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14458 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14459 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14460 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14461 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14462 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14463 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14464 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14465 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14466 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14467 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14468 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14469 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14470 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14471 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14472 
14473 INST "decoder_inst/compExch_Layer_07_to_08_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_22_26";
14474 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_22_26" RANGE=SLICE_X168Y2:SLICE_X177Y233;
14475 
14476 
14477 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/M*" U_SET="uset_compExch_Layer_07_to_08_sites_23_27";
14478 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14479 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14480 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14481 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14482 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14483 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14484 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14485 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14486 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14487 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14488 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14489 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14490 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14491 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14492 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14493 #INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14494 #INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14495 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14496 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14497 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14498 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14499 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14500 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14501 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14502 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14503 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14504 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14505 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14506 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14507 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14508 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14509 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14510 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14511 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14512 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14513 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14514 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14515 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14516 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14517 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14518 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14519 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14520 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14521 #INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14522 #INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14523 #INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14524 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14525 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14526 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14527 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14528 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14529 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14530 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14531 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14532 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14533 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14534 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14535 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14536 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14537 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14538 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14539 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14540 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14541 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14542 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14543 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14544 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14545 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14546 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14547 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14548 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14549 
14550 INST "decoder_inst/compExch_Layer_07_to_08_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_23_27";
14551 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_23_27" RANGE=SLICE_X168Y2:SLICE_X177Y233;
14552 
14553 
14554 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/M*" U_SET="uset_compExch_Layer_08_to_09_sites_18_20";
14555 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14556 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14557 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14558 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14559 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14560 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14561 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14562 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14563 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14564 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14565 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14566 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14567 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14568 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14569 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14570 #INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14571 #INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14572 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14573 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14574 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14575 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14576 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14577 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14578 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14579 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14580 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14581 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14582 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14583 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14584 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14585 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14586 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14587 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14588 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14589 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14590 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14591 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14592 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14593 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14594 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14595 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14596 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14597 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14598 #INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14599 #INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14600 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14601 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14602 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14603 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14604 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14605 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14606 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14607 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14608 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14609 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14610 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14611 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14612 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14613 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14614 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14615 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14616 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14617 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14618 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14619 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14620 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14621 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14622 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14623 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14624 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14625 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14626 
14627 INST "decoder_inst/compExch_Layer_08_to_09_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_18_20";
14628 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_18_20" RANGE=SLICE_X172Y2:SLICE_X181Y233;
14629 
14630 
14631 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/M*" U_SET="uset_compExch_Layer_08_to_09_sites_19_21";
14632 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14633 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14634 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14635 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14636 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14637 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14638 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14639 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14640 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14641 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14642 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14643 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14644 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14645 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14646 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14647 #INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14648 #INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14649 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14650 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14651 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14652 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14653 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14654 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14655 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14656 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14657 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14658 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14659 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14660 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14661 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14662 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14663 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14664 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14665 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14666 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14667 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14668 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14669 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14670 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14671 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14672 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14673 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14674 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14675 #INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14676 #INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14677 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14678 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14679 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14680 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14681 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14682 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14683 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14684 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14685 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14686 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14687 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14688 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14689 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14690 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14691 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14692 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14693 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14694 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14695 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14696 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14697 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14698 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14699 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14700 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14701 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14702 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14703 
14704 INST "decoder_inst/compExch_Layer_08_to_09_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_19_21";
14705 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_19_21" RANGE=SLICE_X172Y2:SLICE_X181Y233;
14706 
14707 
14708 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/M*" U_SET="uset_compExch_Layer_08_to_09_sites_22_24";
14709 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14710 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14711 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14712 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14713 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14714 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14715 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14716 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14717 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14718 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14719 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14720 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14721 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14722 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14723 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14724 #INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14725 #INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14726 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14727 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14728 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14729 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14730 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14731 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14732 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14733 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14734 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14735 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14736 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14737 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14738 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14739 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14740 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14741 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14742 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14743 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14744 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14745 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14746 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14747 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14748 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14749 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14750 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14751 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14752 #INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14753 #INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14754 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14755 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14756 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14757 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14758 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14759 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14760 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14761 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14762 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14763 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14764 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14765 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14766 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14767 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14768 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14769 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14770 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14771 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14772 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14773 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14774 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14775 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14776 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14777 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14778 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14779 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14780 
14781 INST "decoder_inst/compExch_Layer_08_to_09_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_22_24";
14782 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_22_24" RANGE=SLICE_X172Y2:SLICE_X181Y233;
14783 
14784 
14785 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/M*" U_SET="uset_compExch_Layer_08_to_09_sites_23_25";
14786 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14787 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14788 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14789 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14790 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14791 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14792 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14793 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14794 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14795 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14796 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14797 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14798 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14799 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14800 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14801 #INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14802 #INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14803 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14804 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14805 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14806 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14807 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14808 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14809 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14810 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14811 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14812 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14813 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14814 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14815 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14816 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14817 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14818 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14819 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14820 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14821 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14822 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14823 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14824 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14825 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14826 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14827 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14828 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14829 #INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14830 #INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14831 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14832 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14833 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14834 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14835 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14836 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14837 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14838 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14839 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14840 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14841 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14842 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14843 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14844 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14845 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14846 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14847 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14848 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14849 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14850 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14851 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14852 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14853 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14854 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14855 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14856 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14857 
14858 INST "decoder_inst/compExch_Layer_08_to_09_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_23_25";
14859 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_23_25" RANGE=SLICE_X172Y2:SLICE_X181Y233;
14860 
14861 
14862 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/M*" U_SET="uset_compExch_Layer_08_to_09_sites_26_28";
14863 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14864 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14865 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14866 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14867 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14868 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14869 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14870 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14871 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14872 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14873 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14874 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14875 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14876 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14877 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14878 #INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14879 #INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14880 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14881 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14882 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14883 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14884 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14885 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14886 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14887 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14888 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14889 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14890 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14891 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14892 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14893 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14894 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14895 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14896 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14897 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14898 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14899 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14900 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14901 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14902 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14903 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14904 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14905 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14906 #INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14907 #INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14908 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14909 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14910 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14911 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14912 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14913 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14914 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14915 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14916 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14917 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14918 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14919 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14920 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14921 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14922 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
14923 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
14924 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
14925 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
14926 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
14927 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
14928 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
14929 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
14930 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
14931 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
14932 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
14933 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
14934 
14935 INST "decoder_inst/compExch_Layer_08_to_09_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_26_28";
14936 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_26_28" RANGE=SLICE_X172Y2:SLICE_X181Y233;
14937 
14938 
14939 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/M*" U_SET="uset_compExch_Layer_08_to_09_sites_27_29";
14940 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
14941 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
14942 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
14943 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
14944 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
14945 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
14946 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
14947 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
14948 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
14949 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
14950 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
14951 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
14952 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
14953 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
14954 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
14955 #INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
14956 #INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
14957 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
14958 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
14959 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
14960 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
14961 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
14962 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
14963 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
14964 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
14965 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
14966 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
14967 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
14968 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
14969 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
14970 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
14971 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
14972 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
14973 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
14974 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
14975 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
14976 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
14977 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
14978 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
14979 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
14980 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
14981 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
14982 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
14983 #INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
14984 #INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
14985 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
14986 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
14987 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
14988 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
14989 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
14990 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
14991 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
14992 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
14993 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
14994 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
14995 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
14996 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
14997 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
14998 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
14999 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15000 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15001 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15002 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15003 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15004 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15005 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15006 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15007 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15008 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15009 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15010 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15011 
15012 INST "decoder_inst/compExch_Layer_08_to_09_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_27_29";
15013 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_27_29" RANGE=SLICE_X172Y2:SLICE_X181Y233;
15014 
15015 
15016 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/M*" U_SET="uset_compExch_Layer_09_to_10_sites_17_18";
15017 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15018 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15019 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15020 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15021 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15022 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15023 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15024 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15025 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15026 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15027 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15028 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15029 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15030 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15031 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15032 #INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15033 #INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15034 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15035 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15036 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15037 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15038 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15039 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15040 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15041 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15042 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15043 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15044 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15045 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15046 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15047 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15048 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15049 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15050 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15051 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15052 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15053 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15054 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15055 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15056 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15057 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15058 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15059 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15060 #INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15061 #INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15062 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15063 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15064 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15065 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15066 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15067 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15068 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15069 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15070 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15071 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15072 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15073 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15074 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15075 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15076 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15077 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15078 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15079 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15080 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15081 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15082 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15083 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15084 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15085 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15086 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15087 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15088 
15089 INST "decoder_inst/compExch_Layer_09_to_10_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_17_18";
15090 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_17_18" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15091 
15092 
15093 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/M*" U_SET="uset_compExch_Layer_09_to_10_sites_19_20";
15094 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15095 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15096 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15097 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15098 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15099 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15100 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15101 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15102 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15103 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15104 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15105 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15106 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15107 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15108 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15109 #INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15110 #INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15111 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15112 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15113 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15114 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15115 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15116 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15117 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15118 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15119 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15120 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15121 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15122 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15123 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15124 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15125 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15126 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15127 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15128 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15129 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15130 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15131 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15132 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15133 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15134 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15135 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15136 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15137 #INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15138 #INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15139 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15140 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15141 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15142 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15143 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15144 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15145 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15146 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15147 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15148 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15149 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15150 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15151 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15152 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15153 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15154 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15155 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15156 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15157 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15158 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15159 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15160 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15161 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15162 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15163 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15164 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15165 
15166 INST "decoder_inst/compExch_Layer_09_to_10_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_19_20";
15167 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_19_20" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15168 
15169 
15170 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/M*" U_SET="uset_compExch_Layer_09_to_10_sites_21_22";
15171 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15172 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15173 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15174 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15175 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15176 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15177 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15178 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15179 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15180 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15181 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15182 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15183 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15184 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15185 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15186 #INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15187 #INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15188 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15189 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15190 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15191 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15192 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15193 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15194 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15195 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15196 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15197 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15198 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15199 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15200 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15201 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15202 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15203 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15204 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15205 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15206 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15207 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15208 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15209 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15210 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15211 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15212 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15213 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15214 #INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15215 #INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15216 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15217 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15218 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15219 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15220 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15221 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15222 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15223 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15224 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15225 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15226 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15227 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15228 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15229 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15230 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15231 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15232 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15233 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15234 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15235 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15236 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15237 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15238 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15239 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15240 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15241 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15242 
15243 INST "decoder_inst/compExch_Layer_09_to_10_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_21_22";
15244 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_21_22" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15245 
15246 
15247 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/M*" U_SET="uset_compExch_Layer_09_to_10_sites_23_24";
15248 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15249 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15250 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15251 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15252 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15253 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15254 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15255 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15256 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15257 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15258 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15259 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15260 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15261 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15262 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15263 #INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15264 #INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15265 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15266 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15267 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15268 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15269 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15270 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15271 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15272 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15273 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15274 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15275 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15276 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15277 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15278 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15279 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15280 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15281 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15282 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15283 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15284 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15285 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15286 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15287 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15288 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15289 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15290 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15291 #INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15292 #INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15293 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15294 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15295 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15296 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15297 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15298 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15299 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15300 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15301 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15302 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15303 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15304 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15305 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15306 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15307 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15308 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15309 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15310 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15311 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15312 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15313 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15314 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15315 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15316 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15317 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15318 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15319 
15320 INST "decoder_inst/compExch_Layer_09_to_10_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_23_24";
15321 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_23_24" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15322 
15323 
15324 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/M*" U_SET="uset_compExch_Layer_09_to_10_sites_25_26";
15325 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15326 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15327 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15328 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15329 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15330 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15331 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15332 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15333 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15334 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15335 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15336 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15337 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15338 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15339 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15340 #INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15341 #INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15342 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15343 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15344 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15345 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15346 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15347 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15348 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15349 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15350 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15351 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15352 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15353 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15354 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15355 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15356 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15357 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15358 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15359 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15360 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15361 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15362 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15363 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15364 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15365 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15366 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15367 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15368 #INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15369 #INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15370 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15371 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15372 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15373 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15374 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15375 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15376 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15377 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15378 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15379 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15380 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15381 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15382 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15383 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15384 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15385 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15386 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15387 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15388 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15389 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15390 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15391 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15392 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15393 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15394 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15395 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15396 
15397 INST "decoder_inst/compExch_Layer_09_to_10_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_25_26";
15398 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_25_26" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15399 
15400 
15401 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/M*" U_SET="uset_compExch_Layer_09_to_10_sites_27_28";
15402 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15403 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15404 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15405 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15406 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15407 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15408 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15409 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15410 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15411 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15412 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15413 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15414 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15415 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15416 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15417 #INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15418 #INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15419 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15420 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15421 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15422 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15423 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15424 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15425 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15426 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15427 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15428 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15429 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15430 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15431 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15432 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15433 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15434 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15435 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15436 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15437 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15438 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15439 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15440 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15441 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15442 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15443 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15444 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15445 #INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15446 #INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15447 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15448 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15449 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15450 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15451 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15452 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15453 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15454 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15455 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15456 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15457 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15458 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15459 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15460 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15461 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15462 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15463 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15464 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15465 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15466 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15467 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15468 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15469 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15470 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15471 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15472 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15473 
15474 INST "decoder_inst/compExch_Layer_09_to_10_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_27_28";
15475 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_27_28" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15476 
15477 
15478 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/M*" U_SET="uset_compExch_Layer_09_to_10_sites_29_30";
15479 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15480 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15481 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15482 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15483 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15484 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15485 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15486 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15487 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15488 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15489 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15490 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15491 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15492 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15493 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15494 #INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15495 #INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15496 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15497 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15498 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15499 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15500 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15501 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15502 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15503 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15504 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15505 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15506 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15507 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15508 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15509 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15510 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15511 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15512 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15513 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15514 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15515 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15516 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15517 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15518 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15519 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15520 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15521 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15522 #INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15523 #INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15524 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15525 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15526 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15527 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15528 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15529 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15530 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15531 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15532 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15533 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15534 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15535 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15536 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15537 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15538 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15539 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15540 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15541 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15542 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15543 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15544 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15545 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15546 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15547 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15548 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15549 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15550 
15551 INST "decoder_inst/compExch_Layer_09_to_10_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_29_30";
15552 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_29_30" RANGE=SLICE_X176Y2:SLICE_X185Y233;
15553 
15554 
15555 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/M*" U_SET="uset_compExch_Layer_06_to_07_sites_32_40";
15556 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15557 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15558 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15559 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15560 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15561 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15562 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15563 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15564 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15565 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15566 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15567 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15568 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15569 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15570 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15571 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15572 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15573 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15574 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15575 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15576 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15577 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15578 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15579 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15580 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15581 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15582 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15583 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15584 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15585 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15586 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15587 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15588 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15589 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15590 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15591 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15592 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15593 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15594 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15595 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15596 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15597 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15598 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15599 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15600 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15601 #INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15602 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15603 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15604 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15605 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15606 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15607 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15608 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15609 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15610 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15611 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15612 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15613 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15614 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15615 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15616 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15617 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15618 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15619 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15620 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15621 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15622 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15623 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15624 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15625 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15626 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15627 
15628 INST "decoder_inst/compExch_Layer_06_to_07_sites_32_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_32_40";
15629 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_32_40" RANGE=SLICE_X164Y2:SLICE_X173Y233;
15630 
15631 
15632 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/M*" U_SET="uset_compExch_Layer_06_to_07_sites_33_41";
15633 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15634 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15635 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15636 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15637 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15638 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15639 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15640 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15641 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15642 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15643 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15644 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15645 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15646 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15647 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15648 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15649 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15650 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15651 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15652 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15653 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15654 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15655 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15656 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15657 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15658 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15659 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15660 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15661 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15662 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15663 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15664 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15665 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15666 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15667 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15668 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15669 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15670 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15671 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15672 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15673 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15674 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15675 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15676 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15677 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15678 #INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15679 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15680 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15681 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15682 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15683 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15684 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15685 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15686 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15687 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15688 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15689 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15690 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15691 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15692 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15693 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15694 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15695 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15696 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15697 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15698 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15699 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15700 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15701 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15702 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15703 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15704 
15705 INST "decoder_inst/compExch_Layer_06_to_07_sites_33_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_33_41";
15706 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_33_41" RANGE=SLICE_X164Y2:SLICE_X173Y233;
15707 
15708 
15709 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/M*" U_SET="uset_compExch_Layer_06_to_07_sites_34_42";
15710 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15711 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15712 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15713 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15714 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15715 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15716 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15717 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15718 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15719 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15720 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15721 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15722 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15723 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15724 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15725 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15726 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15727 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15728 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15729 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15730 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15731 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15732 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15733 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15734 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15735 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15736 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15737 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15738 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15739 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15740 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15741 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15742 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15743 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15744 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15745 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15746 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15747 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15748 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15749 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15750 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15751 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15752 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15753 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15754 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15755 #INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15756 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15757 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15758 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15759 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15760 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15761 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15762 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15763 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15764 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15765 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15766 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15767 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15768 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15769 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15770 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15771 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15772 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15773 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15774 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15775 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15776 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15777 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15778 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15779 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15780 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15781 
15782 INST "decoder_inst/compExch_Layer_06_to_07_sites_34_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_34_42";
15783 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_34_42" RANGE=SLICE_X164Y2:SLICE_X173Y233;
15784 
15785 
15786 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/M*" U_SET="uset_compExch_Layer_06_to_07_sites_35_43";
15787 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15788 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15789 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15790 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15791 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15792 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15793 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15794 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15795 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15796 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15797 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15798 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15799 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15800 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15801 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15802 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15803 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15804 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15805 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15806 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15807 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15808 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15809 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15810 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15811 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15812 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15813 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15814 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15815 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15816 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15817 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15818 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15819 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15820 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15821 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15822 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15823 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15824 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15825 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15826 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15827 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15828 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15829 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15830 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15831 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15832 #INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15833 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15834 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15835 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15836 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15837 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15838 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15839 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15840 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15841 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15842 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15843 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15844 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15845 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15846 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15847 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15848 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15849 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15850 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15851 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15852 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15853 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15854 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15855 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15856 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15857 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15858 
15859 INST "decoder_inst/compExch_Layer_06_to_07_sites_35_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_35_43";
15860 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_35_43" RANGE=SLICE_X164Y2:SLICE_X173Y233;
15861 
15862 
15863 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/M*" U_SET="uset_compExch_Layer_06_to_07_sites_36_44";
15864 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15865 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15866 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15867 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15868 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15869 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15870 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15871 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15872 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15873 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15874 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15875 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15876 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15877 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15878 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15879 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15880 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15881 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15882 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15883 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15884 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15885 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15886 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15887 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15888 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15889 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15890 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15891 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15892 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15893 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15894 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15895 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15896 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15897 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15898 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15899 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15900 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15901 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15902 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15903 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15904 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15905 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15906 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15907 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15908 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15909 #INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15910 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15911 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15912 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15913 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15914 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15915 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15916 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15917 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15918 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15919 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15920 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15921 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15922 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
15923 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
15924 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
15925 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
15926 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
15927 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
15928 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
15929 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
15930 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
15931 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
15932 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
15933 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
15934 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
15935 
15936 INST "decoder_inst/compExch_Layer_06_to_07_sites_36_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_36_44";
15937 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_36_44" RANGE=SLICE_X164Y2:SLICE_X173Y233;
15938 
15939 
15940 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/M*" U_SET="uset_compExch_Layer_06_to_07_sites_37_45";
15941 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
15942 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
15943 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
15944 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
15945 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
15946 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
15947 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
15948 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
15949 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
15950 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
15951 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
15952 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
15953 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
15954 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
15955 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
15956 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
15957 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
15958 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
15959 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
15960 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
15961 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
15962 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
15963 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
15964 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
15965 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
15966 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
15967 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
15968 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
15969 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
15970 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
15971 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
15972 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
15973 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
15974 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
15975 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
15976 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
15977 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
15978 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
15979 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
15980 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
15981 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
15982 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
15983 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
15984 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
15985 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
15986 #INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
15987 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
15988 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
15989 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
15990 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
15991 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
15992 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
15993 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
15994 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
15995 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
15996 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
15997 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
15998 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
15999 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16000 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16001 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16002 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16003 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16004 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16005 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16006 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16007 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16008 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16009 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16010 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16011 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16012 
16013 INST "decoder_inst/compExch_Layer_06_to_07_sites_37_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_37_45";
16014 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_37_45" RANGE=SLICE_X164Y2:SLICE_X173Y233;
16015 
16016 
16017 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/M*" U_SET="uset_compExch_Layer_06_to_07_sites_38_46";
16018 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16019 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16020 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16021 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16022 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16023 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16024 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16025 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16026 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16027 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16028 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16029 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16030 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16031 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16032 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16033 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16034 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16035 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16036 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16037 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16038 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16039 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16040 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16041 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16042 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16043 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16044 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16045 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16046 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16047 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16048 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16049 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16050 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16051 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16052 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16053 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16054 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16055 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16056 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16057 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16058 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16059 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16060 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16061 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16062 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16063 #INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16064 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16065 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16066 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16067 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16068 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16069 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16070 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16071 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16072 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16073 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16074 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16075 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16076 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16077 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16078 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16079 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16080 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16081 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16082 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16083 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16084 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16085 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16086 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16087 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16088 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16089 
16090 INST "decoder_inst/compExch_Layer_06_to_07_sites_38_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_38_46";
16091 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_38_46" RANGE=SLICE_X164Y2:SLICE_X173Y233;
16092 
16093 
16094 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/M*" U_SET="uset_compExch_Layer_06_to_07_sites_39_47";
16095 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16096 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16097 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16098 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16099 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16100 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16101 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16102 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16103 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16104 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16105 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16106 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16107 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16108 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16109 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16110 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16111 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16112 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16113 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16114 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16115 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16116 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16117 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16118 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16119 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16120 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16121 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16122 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16123 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16124 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16125 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16126 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16127 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16128 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16129 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16130 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16131 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16132 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16133 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16134 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16135 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16136 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16137 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16138 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16139 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16140 #INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16141 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16142 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16143 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16144 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16145 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16146 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16147 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16148 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16149 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16150 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16151 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16152 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16153 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16154 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16155 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16156 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16157 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16158 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16159 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16160 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16161 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16162 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16163 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16164 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16165 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16166 
16167 INST "decoder_inst/compExch_Layer_06_to_07_sites_39_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_39_47";
16168 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_39_47" RANGE=SLICE_X164Y2:SLICE_X173Y233;
16169 
16170 
16171 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/M*" U_SET="uset_compExch_Layer_07_to_08_sites_36_40";
16172 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16173 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16174 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16175 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16176 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16177 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16178 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16179 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16180 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16181 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16182 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16183 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16184 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16185 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16186 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16187 #INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16188 #INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16189 #INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16190 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16191 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16192 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16193 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16194 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16195 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16196 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16197 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16198 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16199 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16200 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16201 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16202 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16203 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16204 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16205 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16206 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16207 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16208 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16209 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16210 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16211 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16212 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16213 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16214 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16215 #INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16216 #INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16217 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16218 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16219 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16220 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16221 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16222 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16223 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16224 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16225 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16226 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16227 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16228 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16229 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16230 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16231 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16232 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16233 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16234 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16235 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16236 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16237 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16238 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16239 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16240 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16241 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16242 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16243 
16244 INST "decoder_inst/compExch_Layer_07_to_08_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_36_40";
16245 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_36_40" RANGE=SLICE_X168Y2:SLICE_X177Y233;
16246 
16247 
16248 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/M*" U_SET="uset_compExch_Layer_07_to_08_sites_37_41";
16249 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16250 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16251 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16252 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16253 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16254 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16255 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16256 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16257 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16258 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16259 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16260 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16261 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16262 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16263 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16264 #INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16265 #INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16266 #INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16267 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16268 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16269 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16270 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16271 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16272 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16273 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16274 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16275 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16276 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16277 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16278 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16279 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16280 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16281 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16282 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16283 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16284 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16285 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16286 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16287 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16288 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16289 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16290 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16291 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16292 #INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16293 #INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16294 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16295 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16296 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16297 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16298 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16299 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16300 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16301 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16302 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16303 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16304 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16305 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16306 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16307 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16308 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16309 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16310 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16311 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16312 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16313 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16314 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16315 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16316 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16317 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16318 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16319 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16320 
16321 INST "decoder_inst/compExch_Layer_07_to_08_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_37_41";
16322 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_37_41" RANGE=SLICE_X168Y2:SLICE_X177Y233;
16323 
16324 
16325 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/M*" U_SET="uset_compExch_Layer_07_to_08_sites_38_42";
16326 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16327 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16328 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16329 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16330 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16331 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16332 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16333 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16334 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16335 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16336 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16337 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16338 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16339 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16340 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16341 #INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16342 #INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16343 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16344 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16345 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16346 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16347 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16348 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16349 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16350 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16351 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16352 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16353 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16354 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16355 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16356 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16357 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16358 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16359 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16360 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16361 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16362 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16363 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16364 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16365 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16366 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16367 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16368 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16369 #INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16370 #INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16371 #INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16372 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16373 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16374 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16375 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16376 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16377 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16378 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16379 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16380 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16381 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16382 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16383 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16384 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16385 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16386 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16387 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16388 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16389 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16390 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16391 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16392 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16393 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16394 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16395 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16396 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16397 
16398 INST "decoder_inst/compExch_Layer_07_to_08_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_38_42";
16399 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_38_42" RANGE=SLICE_X168Y2:SLICE_X177Y233;
16400 
16401 
16402 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/M*" U_SET="uset_compExch_Layer_07_to_08_sites_39_43";
16403 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16404 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16405 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16406 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16407 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16408 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16409 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16410 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16411 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16412 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16413 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16414 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16415 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16416 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16417 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16418 #INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16419 #INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16420 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16421 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16422 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16423 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16424 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16425 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16426 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16427 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16428 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16429 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16430 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16431 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16432 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16433 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16434 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16435 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16436 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16437 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16438 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16439 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16440 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16441 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16442 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16443 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16444 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16445 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16446 #INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16447 #INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16448 #INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16449 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16450 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16451 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16452 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16453 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16454 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16455 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16456 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16457 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16458 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16459 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16460 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16461 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16462 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16463 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16464 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16465 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16466 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16467 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16468 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16469 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16470 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16471 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16472 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16473 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16474 
16475 INST "decoder_inst/compExch_Layer_07_to_08_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_39_43";
16476 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_39_43" RANGE=SLICE_X168Y2:SLICE_X177Y233;
16477 
16478 
16479 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/M*" U_SET="uset_compExch_Layer_08_to_09_sites_34_36";
16480 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16481 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16482 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16483 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16484 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16485 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16486 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16487 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16488 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16489 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16490 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16491 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16492 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16493 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16494 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16495 #INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16496 #INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16497 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16498 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16499 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16500 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16501 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16502 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16503 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16504 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16505 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16506 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16507 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16508 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16509 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16510 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16511 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16512 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16513 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16514 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16515 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16516 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16517 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16518 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16519 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16520 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16521 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16522 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16523 #INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16524 #INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16525 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16526 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16527 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16528 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16529 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16530 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16531 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16532 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16533 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16534 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16535 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16536 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16537 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16538 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16539 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16540 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16541 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16542 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16543 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16544 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16545 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16546 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16547 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16548 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16549 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16550 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16551 
16552 INST "decoder_inst/compExch_Layer_08_to_09_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_34_36";
16553 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_34_36" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16554 
16555 
16556 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/M*" U_SET="uset_compExch_Layer_08_to_09_sites_35_37";
16557 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16558 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16559 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16560 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16561 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16562 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16563 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16564 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16565 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16566 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16567 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16568 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16569 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16570 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16571 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16572 #INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16573 #INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16574 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16575 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16576 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16577 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16578 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16579 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16580 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16581 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16582 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16583 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16584 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16585 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16586 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16587 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16588 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16589 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16590 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16591 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16592 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16593 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16594 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16595 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16596 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16597 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16598 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16599 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16600 #INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16601 #INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16602 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16603 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16604 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16605 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16606 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16607 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16608 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16609 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16610 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16611 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16612 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16613 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16614 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16615 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16616 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16617 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16618 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16619 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16620 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16621 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16622 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16623 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16624 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16625 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16626 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16627 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16628 
16629 INST "decoder_inst/compExch_Layer_08_to_09_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_35_37";
16630 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_35_37" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16631 
16632 
16633 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/M*" U_SET="uset_compExch_Layer_08_to_09_sites_38_40";
16634 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16635 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16636 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16637 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16638 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16639 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16640 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16641 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16642 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16643 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16644 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16645 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16646 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16647 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16648 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16649 #INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16650 #INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16651 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16652 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16653 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16654 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16655 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16656 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16657 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16658 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16659 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16660 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16661 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16662 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16663 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16664 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16665 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16666 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16667 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16668 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16669 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16670 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16671 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16672 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16673 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16674 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16675 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16676 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16677 #INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16678 #INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16679 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16680 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16681 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16682 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16683 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16684 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16685 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16686 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16687 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16688 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16689 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16690 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16691 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16692 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16693 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16694 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16695 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16696 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16697 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16698 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16699 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16700 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16701 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16702 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16703 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16704 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16705 
16706 INST "decoder_inst/compExch_Layer_08_to_09_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_38_40";
16707 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_38_40" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16708 
16709 
16710 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/M*" U_SET="uset_compExch_Layer_08_to_09_sites_39_41";
16711 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16712 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16713 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16714 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16715 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16716 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16717 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16718 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16719 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16720 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16721 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16722 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16723 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16724 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16725 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16726 #INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16727 #INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16728 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16729 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16730 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16731 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16732 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16733 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16734 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16735 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16736 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16737 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16738 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16739 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16740 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16741 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16742 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16743 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16744 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16745 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16746 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16747 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16748 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16749 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16750 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16751 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16752 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16753 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16754 #INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16755 #INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16756 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16757 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16758 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16759 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16760 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16761 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16762 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16763 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16764 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16765 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16766 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16767 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16768 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16769 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16770 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16771 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16772 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16773 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16774 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16775 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16776 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16777 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16778 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16779 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16780 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16781 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16782 
16783 INST "decoder_inst/compExch_Layer_08_to_09_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_39_41";
16784 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_39_41" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16785 
16786 
16787 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/M*" U_SET="uset_compExch_Layer_08_to_09_sites_42_44";
16788 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16789 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16790 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16791 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16792 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16793 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16794 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16795 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16796 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16797 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16798 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16799 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16800 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16801 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16802 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16803 #INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16804 #INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16805 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16806 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16807 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16808 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16809 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16810 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16811 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16812 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16813 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16814 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16815 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16816 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16817 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16818 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16819 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16820 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16821 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16822 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16823 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16824 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16825 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16826 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16827 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16828 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16829 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16830 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16831 #INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16832 #INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16833 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16834 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16835 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16836 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16837 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16838 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16839 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16840 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16841 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16842 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16843 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16844 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16845 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16846 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16847 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16848 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16849 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16850 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16851 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16852 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16853 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16854 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16855 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16856 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16857 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16858 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16859 
16860 INST "decoder_inst/compExch_Layer_08_to_09_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_42_44";
16861 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_42_44" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16862 
16863 
16864 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/M*" U_SET="uset_compExch_Layer_08_to_09_sites_43_45";
16865 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16866 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16867 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16868 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16869 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16870 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16871 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16872 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16873 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16874 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16875 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16876 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16877 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16878 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16879 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16880 #INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16881 #INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16882 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16883 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16884 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16885 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16886 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16887 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16888 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16889 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16890 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16891 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16892 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16893 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16894 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16895 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16896 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16897 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16898 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16899 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16900 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16901 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16902 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16903 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16904 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16905 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16906 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16907 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16908 #INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16909 #INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16910 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16911 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16912 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16913 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16914 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16915 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16916 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16917 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16918 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16919 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16920 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16921 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16922 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
16923 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
16924 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
16925 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
16926 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
16927 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
16928 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
16929 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
16930 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
16931 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
16932 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
16933 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
16934 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
16935 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
16936 
16937 INST "decoder_inst/compExch_Layer_08_to_09_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_43_45";
16938 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_43_45" RANGE=SLICE_X172Y2:SLICE_X181Y233;
16939 
16940 
16941 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/M*" U_SET="uset_compExch_Layer_09_to_10_sites_33_34";
16942 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
16943 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
16944 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
16945 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
16946 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
16947 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
16948 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
16949 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
16950 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
16951 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
16952 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
16953 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
16954 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
16955 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
16956 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
16957 #INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
16958 #INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
16959 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
16960 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
16961 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
16962 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
16963 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
16964 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
16965 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
16966 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
16967 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
16968 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
16969 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
16970 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
16971 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
16972 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
16973 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
16974 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
16975 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
16976 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
16977 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
16978 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
16979 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
16980 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
16981 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
16982 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
16983 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
16984 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
16985 #INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
16986 #INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
16987 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
16988 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
16989 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
16990 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
16991 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
16992 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
16993 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
16994 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
16995 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
16996 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
16997 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
16998 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
16999 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17000 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17001 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17002 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17003 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17004 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17005 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17006 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17007 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17008 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17009 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17010 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17011 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17012 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17013 
17014 INST "decoder_inst/compExch_Layer_09_to_10_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_33_34";
17015 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_33_34" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17016 
17017 
17018 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/M*" U_SET="uset_compExch_Layer_09_to_10_sites_35_36";
17019 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17020 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17021 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17022 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17023 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17024 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17025 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17026 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17027 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17028 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17029 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17030 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17031 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17032 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17033 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17034 #INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17035 #INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17036 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17037 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17038 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17039 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17040 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17041 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17042 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17043 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17044 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17045 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17046 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17047 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17048 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17049 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17050 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17051 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17052 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17053 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17054 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17055 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17056 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17057 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17058 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17059 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17060 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17061 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17062 #INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17063 #INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17064 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17065 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17066 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17067 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17068 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17069 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17070 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17071 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17072 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17073 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17074 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17075 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17076 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17077 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17078 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17079 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17080 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17081 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17082 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17083 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17084 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17085 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17086 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17087 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17088 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17089 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17090 
17091 INST "decoder_inst/compExch_Layer_09_to_10_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_35_36";
17092 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_35_36" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17093 
17094 
17095 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/M*" U_SET="uset_compExch_Layer_09_to_10_sites_37_38";
17096 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17097 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17098 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17099 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17100 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17101 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17102 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17103 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17104 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17105 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17106 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17107 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17108 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17109 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17110 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17111 #INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17112 #INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17113 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17114 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17115 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17116 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17117 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17118 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17119 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17120 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17121 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17122 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17123 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17124 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17125 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17126 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17127 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17128 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17129 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17130 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17131 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17132 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17133 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17134 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17135 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17136 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17137 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17138 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17139 #INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17140 #INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17141 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17142 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17143 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17144 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17145 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17146 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17147 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17148 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17149 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17150 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17151 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17152 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17153 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17154 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17155 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17156 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17157 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17158 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17159 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17160 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17161 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17162 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17163 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17164 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17165 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17166 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17167 
17168 INST "decoder_inst/compExch_Layer_09_to_10_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_37_38";
17169 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_37_38" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17170 
17171 
17172 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/M*" U_SET="uset_compExch_Layer_09_to_10_sites_39_40";
17173 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17174 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17175 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17176 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17177 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17178 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17179 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17180 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17181 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17182 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17183 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17184 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17185 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17186 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17187 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17188 #INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17189 #INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17190 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17191 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17192 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17193 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17194 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17195 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17196 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17197 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17198 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17199 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17200 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17201 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17202 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17203 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17204 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17205 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17206 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17207 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17208 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17209 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17210 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17211 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17212 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17213 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17214 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17215 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17216 #INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17217 #INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17218 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17219 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17220 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17221 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17222 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17223 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17224 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17225 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17226 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17227 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17228 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17229 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17230 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17231 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17232 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17233 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17234 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17235 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17236 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17237 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17238 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17239 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17240 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17241 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17242 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17243 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17244 
17245 INST "decoder_inst/compExch_Layer_09_to_10_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_39_40";
17246 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_39_40" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17247 
17248 
17249 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/M*" U_SET="uset_compExch_Layer_09_to_10_sites_41_42";
17250 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17251 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17252 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17253 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17254 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17255 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17256 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17257 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17258 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17259 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17260 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17261 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17262 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17263 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17264 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17265 #INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17266 #INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17267 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17268 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17269 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17270 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17271 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17272 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17273 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17274 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17275 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17276 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17277 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17278 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17279 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17280 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17281 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17282 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17283 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17284 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17285 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17286 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17287 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17288 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17289 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17290 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17291 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17292 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17293 #INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17294 #INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17295 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17296 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17297 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17298 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17299 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17300 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17301 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17302 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17303 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17304 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17305 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17306 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17307 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17308 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17309 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17310 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17311 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17312 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17313 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17314 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17315 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17316 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17317 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17318 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17319 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17320 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17321 
17322 INST "decoder_inst/compExch_Layer_09_to_10_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_41_42";
17323 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_41_42" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17324 
17325 
17326 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/M*" U_SET="uset_compExch_Layer_09_to_10_sites_43_44";
17327 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17328 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17329 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17330 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17331 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17332 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17333 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17334 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17335 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17336 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17337 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17338 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17339 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17340 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17341 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17342 #INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17343 #INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17344 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17345 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17346 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17347 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17348 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17349 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17350 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17351 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17352 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17353 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17354 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17355 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17356 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17357 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17358 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17359 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17360 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17361 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17362 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17363 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17364 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17365 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17366 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17367 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17368 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17369 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17370 #INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17371 #INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17372 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17373 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17374 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17375 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17376 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17377 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17378 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17379 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17380 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17381 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17382 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17383 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17384 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17385 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17386 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17387 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17388 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17389 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17390 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17391 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17392 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17393 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17394 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17395 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17396 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17397 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17398 
17399 INST "decoder_inst/compExch_Layer_09_to_10_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_43_44";
17400 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_43_44" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17401 
17402 
17403 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/M*" U_SET="uset_compExch_Layer_09_to_10_sites_45_46";
17404 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17405 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17406 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17407 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17408 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17409 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17410 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17411 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17412 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17413 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17414 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17415 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17416 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17417 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17418 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17419 #INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17420 #INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17421 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17422 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17423 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17424 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17425 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17426 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17427 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17428 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17429 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17430 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17431 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17432 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17433 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17434 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17435 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17436 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17437 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17438 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17439 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17440 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17441 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17442 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17443 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17444 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17445 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17446 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17447 #INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17448 #INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17449 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17450 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17451 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17452 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17453 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17454 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17455 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17456 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17457 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17458 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17459 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17460 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17461 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17462 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17463 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17464 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17465 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17466 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17467 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17468 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17469 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17470 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17471 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17472 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17473 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17474 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17475 
17476 INST "decoder_inst/compExch_Layer_09_to_10_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_45_46";
17477 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_45_46" RANGE=SLICE_X176Y2:SLICE_X185Y233;
17478 
17479 
17480 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/M*" U_SET="uset_compExch_Layer_06_to_07_sites_48_56";
17481 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17482 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17483 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17484 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17485 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17486 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17487 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17488 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17489 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17490 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17491 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17492 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17493 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17494 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17495 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17496 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17497 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17498 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17499 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17500 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17501 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17502 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17503 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17504 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17505 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17506 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17507 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17508 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17509 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17510 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17511 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17512 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17513 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17514 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17515 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17516 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17517 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17518 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17519 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17520 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17521 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17522 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17523 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17524 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17525 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17526 #INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17527 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17528 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17529 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17530 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17531 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17532 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17533 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17534 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17535 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17536 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17537 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17538 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17539 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17540 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17541 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17542 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17543 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17544 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17545 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17546 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17547 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17548 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17549 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17550 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17551 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17552 
17553 INST "decoder_inst/compExch_Layer_06_to_07_sites_48_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_48_56";
17554 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_48_56" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17555 
17556 
17557 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/M*" U_SET="uset_compExch_Layer_06_to_07_sites_49_57";
17558 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17559 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17560 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17561 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17562 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17563 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17564 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17565 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17566 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17567 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17568 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17569 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17570 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17571 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17572 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17573 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17574 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17575 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17576 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17577 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17578 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17579 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17580 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17581 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17582 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17583 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17584 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17585 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17586 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17587 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17588 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17589 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17590 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17591 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17592 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17593 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17594 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17595 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17596 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17597 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17598 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17599 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17600 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17601 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17602 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17603 #INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17604 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17605 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17606 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17607 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17608 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17609 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17610 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17611 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17612 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17613 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17614 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17615 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17616 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17617 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17618 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17619 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17620 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17621 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17622 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17623 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17624 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17625 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17626 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17627 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17628 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17629 
17630 INST "decoder_inst/compExch_Layer_06_to_07_sites_49_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_49_57";
17631 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_49_57" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17632 
17633 
17634 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/M*" U_SET="uset_compExch_Layer_06_to_07_sites_50_58";
17635 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17636 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17637 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17638 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17639 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17640 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17641 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17642 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17643 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17644 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17645 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17646 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17647 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17648 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17649 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17650 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17651 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17652 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17653 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17654 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17655 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17656 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17657 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17658 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17659 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17660 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17661 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17662 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17663 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17664 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17665 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17666 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17667 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17668 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17669 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17670 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17671 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17672 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17673 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17674 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17675 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17676 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17677 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17678 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17679 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17680 #INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17681 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17682 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17683 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17684 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17685 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17686 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17687 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17688 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17689 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17690 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17691 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17692 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17693 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17694 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17695 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17696 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17697 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17698 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17699 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17700 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17701 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17702 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17703 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17704 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17705 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17706 
17707 INST "decoder_inst/compExch_Layer_06_to_07_sites_50_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_50_58";
17708 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_50_58" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17709 
17710 
17711 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/M*" U_SET="uset_compExch_Layer_06_to_07_sites_51_59";
17712 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17713 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17714 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17715 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17716 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17717 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17718 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17719 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17720 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17721 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17722 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17723 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17724 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17725 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17726 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17727 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17728 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17729 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17730 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17731 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17732 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17733 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17734 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17735 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17736 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17737 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17738 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17739 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17740 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17741 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17742 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17743 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17744 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17745 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17746 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17747 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17748 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17749 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17750 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17751 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17752 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17753 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17754 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17755 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17756 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17757 #INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17758 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17759 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17760 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17761 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17762 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17763 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17764 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17765 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17766 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17767 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17768 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17769 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17770 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17771 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17772 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17773 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17774 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17775 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17776 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17777 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17778 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17779 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17780 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17781 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17782 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17783 
17784 INST "decoder_inst/compExch_Layer_06_to_07_sites_51_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_51_59";
17785 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_51_59" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17786 
17787 
17788 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/M*" U_SET="uset_compExch_Layer_06_to_07_sites_52_60";
17789 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17790 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17791 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17792 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17793 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17794 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17795 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17796 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17797 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17798 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17799 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17800 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17801 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17802 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17803 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17804 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17805 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17806 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17807 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17808 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17809 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17810 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17811 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17812 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17813 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17814 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17815 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17816 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17817 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17818 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17819 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17820 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17821 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17822 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17823 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17824 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17825 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17826 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17827 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17828 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17829 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17830 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17831 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17832 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17833 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17834 #INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17835 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17836 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17837 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17838 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17839 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17840 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17841 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17842 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17843 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17844 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17845 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17846 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17847 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17848 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17849 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17850 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17851 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17852 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17853 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17854 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17855 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17856 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17857 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17858 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17859 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17860 
17861 INST "decoder_inst/compExch_Layer_06_to_07_sites_52_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_52_60";
17862 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_52_60" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17863 
17864 
17865 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/M*" U_SET="uset_compExch_Layer_06_to_07_sites_53_61";
17866 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17867 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17868 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17869 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17870 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17871 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17872 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17873 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17874 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17875 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17876 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17877 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17878 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17879 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17880 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17881 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17882 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17883 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17884 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17885 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17886 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17887 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17888 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17889 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17890 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17891 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17892 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17893 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17894 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17895 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17896 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17897 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17898 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17899 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17900 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17901 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17902 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17903 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17904 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17905 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17906 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17907 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17908 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17909 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17910 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17911 #INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17912 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17913 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17914 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17915 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17916 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17917 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17918 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17919 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17920 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17921 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17922 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
17923 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
17924 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
17925 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
17926 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
17927 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
17928 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
17929 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
17930 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
17931 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
17932 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
17933 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
17934 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
17935 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
17936 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
17937 
17938 INST "decoder_inst/compExch_Layer_06_to_07_sites_53_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_53_61";
17939 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_53_61" RANGE=SLICE_X164Y2:SLICE_X173Y233;
17940 
17941 
17942 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/M*" U_SET="uset_compExch_Layer_06_to_07_sites_54_62";
17943 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
17944 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
17945 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
17946 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
17947 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
17948 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
17949 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
17950 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
17951 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
17952 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
17953 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
17954 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
17955 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
17956 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
17957 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
17958 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
17959 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
17960 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
17961 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
17962 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
17963 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
17964 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
17965 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
17966 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
17967 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
17968 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
17969 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
17970 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
17971 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
17972 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
17973 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
17974 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
17975 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
17976 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
17977 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
17978 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
17979 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
17980 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
17981 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
17982 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
17983 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
17984 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
17985 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
17986 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
17987 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
17988 #INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
17989 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
17990 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
17991 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
17992 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
17993 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
17994 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
17995 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
17996 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
17997 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
17998 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
17999 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18000 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18001 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18002 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18003 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18004 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18005 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18006 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18007 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18008 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18009 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18010 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18011 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18012 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18013 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18014 
18015 INST "decoder_inst/compExch_Layer_06_to_07_sites_54_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_54_62";
18016 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_54_62" RANGE=SLICE_X164Y2:SLICE_X173Y233;
18017 
18018 
18019 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/M*" U_SET="uset_compExch_Layer_06_to_07_sites_55_63";
18020 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18021 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18022 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18023 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18024 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18025 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18026 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18027 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18028 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18029 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18030 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18031 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18032 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18033 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18034 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18035 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18036 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18037 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18038 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18039 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18040 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18041 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18042 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18043 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18044 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18045 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18046 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18047 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18048 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18049 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18050 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18051 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18052 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18053 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18054 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18055 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18056 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18057 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18058 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18059 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18060 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18061 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18062 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18063 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18064 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18065 #INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18066 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18067 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18068 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18069 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18070 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18071 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18072 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18073 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18074 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18075 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18076 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18077 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18078 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18079 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18080 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18081 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18082 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18083 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18084 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18085 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18086 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18087 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18088 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18089 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18090 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18091 
18092 INST "decoder_inst/compExch_Layer_06_to_07_sites_55_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_06_to_07_sites_55_63";
18093 AREA_GROUP "AREA_compExch_Layer_06_to_07_sites_55_63" RANGE=SLICE_X164Y2:SLICE_X173Y233;
18094 
18095 
18096 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/M*" U_SET="uset_compExch_Layer_07_to_08_sites_52_56";
18097 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18098 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18099 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18100 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18101 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18102 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18103 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18104 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18105 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18106 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18107 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18108 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18109 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18110 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18111 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18112 #INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18113 #INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18114 #INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18115 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18116 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18117 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18118 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18119 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18120 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18121 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18122 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18123 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18124 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18125 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18126 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18127 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18128 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18129 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18130 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18131 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18132 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18133 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18134 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18135 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18136 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18137 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18138 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18139 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18140 #INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18141 #INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18142 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18143 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18144 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18145 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18146 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18147 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18148 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18149 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18150 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18151 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18152 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18153 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18154 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18155 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18156 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18157 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18158 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18159 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18160 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18161 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18162 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18163 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18164 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18165 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18166 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18167 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18168 
18169 INST "decoder_inst/compExch_Layer_07_to_08_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_52_56";
18170 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_52_56" RANGE=SLICE_X168Y2:SLICE_X177Y233;
18171 
18172 
18173 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/M*" U_SET="uset_compExch_Layer_07_to_08_sites_53_57";
18174 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18175 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18176 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18177 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18178 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18179 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18180 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18181 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18182 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18183 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18184 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18185 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18186 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18187 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18188 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18189 #INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18190 #INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18191 #INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18192 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18193 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18194 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18195 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18196 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18197 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18198 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18199 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18200 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18201 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18202 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18203 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18204 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18205 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18206 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18207 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18208 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18209 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18210 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18211 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18212 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18213 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18214 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18215 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18216 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18217 #INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18218 #INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18219 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18220 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18221 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18222 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18223 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18224 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18225 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18226 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18227 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18228 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18229 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18230 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18231 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18232 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18233 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18234 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18235 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18236 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18237 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18238 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18239 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18240 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18241 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18242 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18243 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18244 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18245 
18246 INST "decoder_inst/compExch_Layer_07_to_08_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_53_57";
18247 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_53_57" RANGE=SLICE_X168Y2:SLICE_X177Y233;
18248 
18249 
18250 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/M*" U_SET="uset_compExch_Layer_07_to_08_sites_54_58";
18251 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18252 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18253 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18254 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18255 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18256 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18257 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18258 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18259 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18260 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18261 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18262 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18263 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18264 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18265 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18266 #INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18267 #INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18268 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18269 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18270 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18271 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18272 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18273 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18274 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18275 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18276 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18277 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18278 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18279 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18280 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18281 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18282 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18283 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18284 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18285 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18286 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18287 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18288 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18289 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18290 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18291 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18292 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18293 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18294 #INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18295 #INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18296 #INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18297 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18298 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18299 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18300 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18301 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18302 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18303 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18304 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18305 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18306 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18307 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18308 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18309 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18310 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18311 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18312 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18313 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18314 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18315 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18316 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18317 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18318 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18319 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18320 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18321 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18322 
18323 INST "decoder_inst/compExch_Layer_07_to_08_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_54_58";
18324 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_54_58" RANGE=SLICE_X168Y2:SLICE_X177Y233;
18325 
18326 
18327 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/M*" U_SET="uset_compExch_Layer_07_to_08_sites_55_59";
18328 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18329 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18330 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18331 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18332 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18333 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18334 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18335 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18336 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18337 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18338 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18339 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18340 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18341 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18342 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18343 #INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18344 #INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18345 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18346 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18347 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18348 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18349 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18350 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18351 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18352 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18353 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18354 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18355 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18356 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18357 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18358 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18359 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18360 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18361 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18362 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18363 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18364 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18365 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18366 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18367 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18368 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18369 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18370 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18371 #INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18372 #INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18373 #INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18374 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18375 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18376 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18377 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18378 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18379 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18380 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18381 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18382 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18383 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18384 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18385 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18386 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18387 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18388 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18389 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18390 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18391 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18392 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18393 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18394 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18395 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18396 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18397 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18398 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18399 
18400 INST "decoder_inst/compExch_Layer_07_to_08_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_07_to_08_sites_55_59";
18401 AREA_GROUP "AREA_compExch_Layer_07_to_08_sites_55_59" RANGE=SLICE_X168Y2:SLICE_X177Y233;
18402 
18403 
18404 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/M*" U_SET="uset_compExch_Layer_08_to_09_sites_50_52";
18405 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18406 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18407 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18408 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18409 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18410 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18411 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18412 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18413 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18414 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18415 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18416 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18417 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18418 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18419 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18420 #INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18421 #INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18422 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18423 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18424 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18425 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18426 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18427 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18428 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18429 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18430 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18431 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18432 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18433 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18434 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18435 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18436 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18437 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18438 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18439 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18440 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18441 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18442 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18443 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18444 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18445 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18446 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18447 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18448 #INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18449 #INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18450 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18451 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18452 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18453 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18454 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18455 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18456 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18457 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18458 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18459 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18460 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18461 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18462 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18463 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18464 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18465 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18466 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18467 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18468 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18469 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18470 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18471 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18472 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18473 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18474 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18475 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18476 
18477 INST "decoder_inst/compExch_Layer_08_to_09_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_50_52";
18478 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_50_52" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18479 
18480 
18481 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/M*" U_SET="uset_compExch_Layer_08_to_09_sites_51_53";
18482 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18483 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18484 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18485 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18486 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18487 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18488 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18489 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18490 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18491 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18492 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18493 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18494 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18495 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18496 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18497 #INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18498 #INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18499 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18500 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18501 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18502 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18503 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18504 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18505 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18506 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18507 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18508 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18509 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18510 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18511 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18512 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18513 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18514 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18515 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18516 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18517 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18518 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18519 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18520 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18521 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18522 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18523 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18524 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18525 #INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18526 #INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18527 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18528 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18529 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18530 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18531 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18532 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18533 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18534 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18535 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18536 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18537 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18538 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18539 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18540 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18541 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18542 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18543 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18544 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18545 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18546 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18547 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18548 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18549 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18550 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18551 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18552 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18553 
18554 INST "decoder_inst/compExch_Layer_08_to_09_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_51_53";
18555 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_51_53" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18556 
18557 
18558 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/M*" U_SET="uset_compExch_Layer_08_to_09_sites_54_56";
18559 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18560 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18561 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18562 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18563 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18564 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18565 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18566 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18567 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18568 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18569 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18570 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18571 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18572 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18573 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18574 #INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18575 #INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18576 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18577 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18578 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18579 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18580 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18581 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18582 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18583 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18584 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18585 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18586 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18587 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18588 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18589 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18590 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18591 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18592 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18593 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18594 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18595 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18596 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18597 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18598 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18599 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18600 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18601 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18602 #INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18603 #INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18604 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18605 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18606 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18607 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18608 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18609 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18610 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18611 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18612 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18613 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18614 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18615 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18616 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18617 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18618 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18619 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18620 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18621 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18622 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18623 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18624 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18625 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18626 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18627 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18628 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18629 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18630 
18631 INST "decoder_inst/compExch_Layer_08_to_09_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_54_56";
18632 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_54_56" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18633 
18634 
18635 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/M*" U_SET="uset_compExch_Layer_08_to_09_sites_55_57";
18636 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18637 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18638 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18639 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18640 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18641 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18642 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18643 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18644 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18645 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18646 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18647 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18648 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18649 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18650 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18651 #INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18652 #INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18653 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18654 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18655 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18656 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18657 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18658 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18659 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18660 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18661 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18662 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18663 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18664 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18665 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18666 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18667 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18668 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18669 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18670 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18671 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18672 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18673 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18674 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18675 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18676 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18677 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18678 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18679 #INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18680 #INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18681 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18682 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18683 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18684 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18685 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18686 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18687 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18688 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18689 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18690 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18691 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18692 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18693 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18694 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18695 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18696 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18697 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18698 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18699 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18700 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18701 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18702 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18703 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18704 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18705 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18706 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18707 
18708 INST "decoder_inst/compExch_Layer_08_to_09_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_55_57";
18709 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_55_57" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18710 
18711 
18712 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/M*" U_SET="uset_compExch_Layer_08_to_09_sites_58_60";
18713 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18714 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18715 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18716 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18717 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18718 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18719 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18720 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18721 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18722 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18723 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18724 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18725 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18726 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18727 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18728 #INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18729 #INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18730 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18731 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18732 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18733 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18734 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18735 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18736 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18737 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18738 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18739 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18740 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18741 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18742 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18743 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18744 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18745 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18746 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18747 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18748 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18749 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18750 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18751 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18752 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18753 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18754 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18755 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18756 #INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18757 #INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18758 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18759 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18760 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18761 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18762 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18763 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18764 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18765 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18766 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18767 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18768 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18769 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18770 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18771 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18772 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18773 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18774 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18775 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18776 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18777 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18778 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18779 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18780 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18781 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18782 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18783 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18784 
18785 INST "decoder_inst/compExch_Layer_08_to_09_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_58_60";
18786 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_58_60" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18787 
18788 
18789 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/M*" U_SET="uset_compExch_Layer_08_to_09_sites_59_61";
18790 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18791 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18792 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18793 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18794 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18795 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18796 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18797 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18798 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18799 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18800 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18801 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18802 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18803 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18804 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18805 #INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18806 #INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18807 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18808 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18809 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18810 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18811 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18812 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18813 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18814 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18815 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18816 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18817 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18818 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18819 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18820 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18821 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18822 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18823 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18824 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18825 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18826 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18827 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18828 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18829 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18830 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18831 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18832 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18833 #INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18834 #INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18835 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18836 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18837 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18838 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18839 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18840 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18841 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18842 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18843 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18844 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18845 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18846 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18847 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18848 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18849 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18850 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18851 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18852 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18853 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18854 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18855 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18856 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18857 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18858 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18859 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18860 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18861 
18862 INST "decoder_inst/compExch_Layer_08_to_09_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_08_to_09_sites_59_61";
18863 AREA_GROUP "AREA_compExch_Layer_08_to_09_sites_59_61" RANGE=SLICE_X172Y2:SLICE_X181Y233;
18864 
18865 
18866 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/M*" U_SET="uset_compExch_Layer_09_to_10_sites_49_50";
18867 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18868 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18869 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18870 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18871 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18872 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18873 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18874 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18875 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18876 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18877 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18878 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18879 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18880 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18881 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18882 #INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18883 #INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18884 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18885 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18886 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18887 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18888 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18889 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18890 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18891 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18892 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18893 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18894 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18895 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18896 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18897 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18898 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18899 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18900 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18901 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18902 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18903 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18904 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18905 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18906 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18907 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18908 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18909 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18910 #INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18911 #INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18912 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18913 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18914 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18915 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18916 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18917 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18918 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18919 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18920 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18921 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18922 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
18923 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
18924 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
18925 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
18926 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
18927 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
18928 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
18929 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
18930 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
18931 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
18932 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
18933 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
18934 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
18935 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
18936 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
18937 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
18938 
18939 INST "decoder_inst/compExch_Layer_09_to_10_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_49_50";
18940 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_49_50" RANGE=SLICE_X176Y2:SLICE_X185Y233;
18941 
18942 
18943 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/M*" U_SET="uset_compExch_Layer_09_to_10_sites_51_52";
18944 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
18945 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
18946 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
18947 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
18948 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
18949 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
18950 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
18951 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
18952 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
18953 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
18954 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
18955 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
18956 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
18957 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
18958 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
18959 #INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
18960 #INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
18961 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
18962 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
18963 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
18964 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
18965 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
18966 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
18967 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
18968 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
18969 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
18970 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
18971 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
18972 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
18973 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
18974 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
18975 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
18976 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
18977 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
18978 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
18979 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
18980 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
18981 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
18982 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
18983 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
18984 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
18985 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
18986 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
18987 #INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
18988 #INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
18989 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
18990 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
18991 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
18992 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
18993 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
18994 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
18995 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
18996 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
18997 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
18998 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
18999 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19000 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19001 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19002 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19003 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19004 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19005 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19006 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19007 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19008 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19009 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19010 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19011 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19012 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19013 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19014 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19015 
19016 INST "decoder_inst/compExch_Layer_09_to_10_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_51_52";
19017 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_51_52" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19018 
19019 
19020 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/M*" U_SET="uset_compExch_Layer_09_to_10_sites_53_54";
19021 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19022 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19023 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19024 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19025 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19026 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19027 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19028 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19029 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19030 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19031 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19032 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19033 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19034 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19035 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19036 #INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19037 #INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19038 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19039 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19040 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19041 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19042 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19043 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19044 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19045 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19046 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19047 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19048 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19049 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19050 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19051 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19052 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19053 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19054 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19055 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19056 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19057 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19058 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19059 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19060 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19061 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19062 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19063 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19064 #INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19065 #INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19066 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19067 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19068 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19069 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19070 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19071 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19072 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19073 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19074 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19075 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19076 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19077 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19078 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19079 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19080 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19081 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19082 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19083 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19084 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19085 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19086 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19087 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19088 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19089 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19090 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19091 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19092 
19093 INST "decoder_inst/compExch_Layer_09_to_10_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_53_54";
19094 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_53_54" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19095 
19096 
19097 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/M*" U_SET="uset_compExch_Layer_09_to_10_sites_55_56";
19098 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19099 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19100 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19101 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19102 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19103 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19104 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19105 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19106 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19107 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19108 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19109 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19110 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19111 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19112 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19113 #INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19114 #INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19115 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19116 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19117 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19118 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19119 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19120 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19121 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19122 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19123 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19124 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19125 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19126 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19127 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19128 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19129 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19130 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19131 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19132 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19133 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19134 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19135 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19136 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19137 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19138 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19139 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19140 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19141 #INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19142 #INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19143 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19144 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19145 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19146 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19147 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19148 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19149 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19150 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19151 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19152 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19153 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19154 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19155 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19156 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19157 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19158 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19159 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19160 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19161 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19162 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19163 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19164 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19165 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19166 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19167 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19168 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19169 
19170 INST "decoder_inst/compExch_Layer_09_to_10_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_55_56";
19171 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_55_56" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19172 
19173 
19174 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/M*" U_SET="uset_compExch_Layer_09_to_10_sites_57_58";
19175 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19176 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19177 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19178 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19179 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19180 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19181 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19182 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19183 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19184 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19185 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19186 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19187 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19188 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19189 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19190 #INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19191 #INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19192 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19193 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19194 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19195 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19196 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19197 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19198 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19199 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19200 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19201 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19202 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19203 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19204 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19205 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19206 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19207 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19208 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19209 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19210 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19211 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19212 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19213 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19214 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19215 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19216 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19217 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19218 #INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19219 #INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19220 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19221 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19222 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19223 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19224 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19225 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19226 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19227 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19228 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19229 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19230 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19231 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19232 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19233 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19234 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19235 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19236 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19237 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19238 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19239 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19240 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19241 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19242 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19243 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19244 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19245 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19246 
19247 INST "decoder_inst/compExch_Layer_09_to_10_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_57_58";
19248 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_57_58" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19249 
19250 
19251 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/M*" U_SET="uset_compExch_Layer_09_to_10_sites_59_60";
19252 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19253 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19254 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19255 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19256 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19257 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19258 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19259 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19260 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19261 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19262 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19263 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19264 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19265 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19266 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19267 #INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19268 #INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19269 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19270 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19271 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19272 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19273 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19274 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19275 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19276 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19277 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19278 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19279 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19280 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19281 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19282 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19283 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19284 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19285 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19286 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19287 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19288 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19289 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19290 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19291 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19292 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19293 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19294 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19295 #INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19296 #INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19297 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19298 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19299 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19300 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19301 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19302 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19303 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19304 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19305 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19306 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19307 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19308 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19309 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19310 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19311 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19312 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19313 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19314 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19315 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19316 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19317 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19318 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19319 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19320 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19321 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19322 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19323 
19324 INST "decoder_inst/compExch_Layer_09_to_10_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_59_60";
19325 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_59_60" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19326 
19327 
19328 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/M*" U_SET="uset_compExch_Layer_09_to_10_sites_61_62";
19329 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19330 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19331 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19332 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19333 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19334 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19335 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19336 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19337 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19338 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19339 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19340 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19341 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19342 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19343 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19344 #INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19345 #INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19346 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19347 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19348 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19349 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19350 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19351 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19352 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19353 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19354 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19355 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19356 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19357 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19358 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19359 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19360 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19361 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19362 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19363 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19364 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19365 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19366 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19367 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19368 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19369 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19370 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19371 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19372 #INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19373 #INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19374 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19375 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19376 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19377 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19378 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19379 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19380 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19381 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19382 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19383 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19384 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19385 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19386 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19387 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19388 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19389 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19390 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19391 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19392 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19393 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19394 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19395 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19396 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19397 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19398 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19399 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19400 
19401 INST "decoder_inst/compExch_Layer_09_to_10_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_09_to_10_sites_61_62";
19402 AREA_GROUP "AREA_compExch_Layer_09_to_10_sites_61_62" RANGE=SLICE_X176Y2:SLICE_X185Y233;
19403 
19404 
19405 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/M*" U_SET="uset_compExch_Layer_10_to_11_sites_00_16";
19406 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19407 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19408 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19409 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19410 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19411 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19412 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19413 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19414 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19415 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19416 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19417 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19418 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19419 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19420 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19421 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19422 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19423 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19424 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19425 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19426 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19427 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19428 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19429 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19430 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19431 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19432 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19433 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19434 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19435 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19436 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19437 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19438 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19439 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19440 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19441 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19442 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19443 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19444 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19445 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19446 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19447 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19448 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19449 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19450 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19451 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19452 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19453 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19454 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19455 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19456 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19457 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19458 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19459 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19460 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19461 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19462 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19463 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19464 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19465 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19466 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19467 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19468 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19469 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19470 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19471 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19472 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19473 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19474 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19475 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19476 INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19477 
19478 #INST "decoder_inst/compExch_Layer_10_to_11_sites_00_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_00_16";
19479 #AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_00_16" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19480 
19481 
19482 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/M*" U_SET="uset_compExch_Layer_10_to_11_sites_01_17";
19483 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19484 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19485 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19486 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19487 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19488 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19489 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19490 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19491 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19492 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19493 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19494 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19495 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19496 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19497 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19498 #INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19499 #INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19500 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19501 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19502 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19503 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19504 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19505 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19506 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19507 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19508 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19509 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19510 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19511 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19512 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19513 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19514 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19515 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19516 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19517 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19518 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19519 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19520 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19521 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19522 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19523 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19524 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19525 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19526 #INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19527 #INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19528 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19529 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19530 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19531 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19532 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19533 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19534 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19535 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19536 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19537 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19538 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19539 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19540 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19541 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19542 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19543 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19544 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19545 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19546 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19547 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19548 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19549 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19550 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19551 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19552 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19553 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19554 
19555 INST "decoder_inst/compExch_Layer_10_to_11_sites_01_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_01_17";
19556 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_01_17" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19557 
19558 
19559 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/M*" U_SET="uset_compExch_Layer_10_to_11_sites_02_18";
19560 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19561 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19562 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19563 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19564 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19565 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19566 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19567 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19568 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19569 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19570 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19571 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19572 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19573 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19574 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19575 #INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19576 #INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19577 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19578 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19579 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19580 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19581 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19582 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19583 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19584 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19585 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19586 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19587 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19588 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19589 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19590 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19591 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19592 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19593 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19594 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19595 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19596 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19597 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19598 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19599 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19600 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19601 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19602 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19603 #INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19604 #INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19605 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19606 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19607 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19608 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19609 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19610 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19611 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19612 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19613 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19614 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19615 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19616 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19617 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19618 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19619 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19620 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19621 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19622 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19623 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19624 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19625 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19626 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19627 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19628 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19629 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19630 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19631 
19632 INST "decoder_inst/compExch_Layer_10_to_11_sites_02_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_02_18";
19633 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_02_18" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19634 
19635 
19636 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/M*" U_SET="uset_compExch_Layer_10_to_11_sites_03_19";
19637 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19638 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19639 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19640 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19641 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19642 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19643 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19644 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19645 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19646 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19647 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19648 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19649 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19650 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19651 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19652 #INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19653 #INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19654 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19655 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19656 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19657 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19658 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19659 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19660 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19661 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19662 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19663 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19664 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19665 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19666 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19667 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19668 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19669 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19670 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19671 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19672 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19673 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19674 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19675 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19676 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19677 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19678 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19679 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19680 #INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19681 #INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19682 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19683 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19684 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19685 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19686 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19687 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19688 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19689 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19690 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19691 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19692 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19693 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19694 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19695 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19696 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19697 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19698 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19699 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19700 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19701 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19702 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19703 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19704 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19705 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19706 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19707 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19708 
19709 INST "decoder_inst/compExch_Layer_10_to_11_sites_03_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_03_19";
19710 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_03_19" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19711 
19712 
19713 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/M*" U_SET="uset_compExch_Layer_10_to_11_sites_04_20";
19714 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19715 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19716 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19717 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19718 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19719 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19720 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19721 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19722 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19723 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19724 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19725 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19726 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19727 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19728 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19729 #INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19730 #INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19731 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19732 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19733 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19734 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19735 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19736 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19737 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19738 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19739 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19740 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19741 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19742 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19743 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19744 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19745 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19746 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19747 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19748 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19749 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19750 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19751 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19752 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19753 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19754 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19755 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19756 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19757 #INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19758 #INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19759 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19760 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19761 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19762 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19763 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19764 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19765 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19766 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19767 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19768 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19769 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19770 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19771 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19772 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19773 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19774 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19775 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19776 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19777 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19778 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19779 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19780 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19781 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19782 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19783 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19784 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19785 
19786 INST "decoder_inst/compExch_Layer_10_to_11_sites_04_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_04_20";
19787 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_04_20" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19788 
19789 
19790 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/M*" U_SET="uset_compExch_Layer_10_to_11_sites_05_21";
19791 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19792 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19793 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19794 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19795 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19796 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19797 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19798 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19799 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19800 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19801 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19802 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19803 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19804 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19805 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19806 #INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19807 #INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19808 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19809 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19810 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19811 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19812 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19813 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19814 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19815 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19816 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19817 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19818 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19819 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19820 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19821 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19822 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19823 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19824 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19825 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19826 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19827 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19828 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19829 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19830 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19831 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19832 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19833 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19834 #INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19835 #INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19836 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19837 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19838 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19839 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19840 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19841 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19842 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19843 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19844 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19845 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19846 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19847 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19848 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19849 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19850 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19851 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19852 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19853 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19854 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19855 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19856 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19857 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19858 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19859 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19860 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19861 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19862 
19863 INST "decoder_inst/compExch_Layer_10_to_11_sites_05_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_05_21";
19864 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_05_21" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19865 
19866 
19867 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/M*" U_SET="uset_compExch_Layer_10_to_11_sites_06_22";
19868 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19869 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19870 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19871 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19872 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19873 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19874 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19875 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19876 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19877 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19878 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19879 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19880 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19881 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19882 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19883 #INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19884 #INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19885 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19886 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19887 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19888 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19889 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19890 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19891 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19892 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19893 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19894 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19895 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19896 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19897 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19898 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19899 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19900 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19901 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19902 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19903 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19904 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19905 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19906 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19907 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19908 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19909 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19910 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19911 #INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19912 #INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19913 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19914 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19915 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19916 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19917 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19918 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19919 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19920 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19921 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19922 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
19923 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
19924 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
19925 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
19926 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
19927 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
19928 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
19929 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
19930 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
19931 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
19932 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
19933 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
19934 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
19935 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
19936 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
19937 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
19938 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
19939 
19940 INST "decoder_inst/compExch_Layer_10_to_11_sites_06_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_06_22";
19941 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_06_22" RANGE=SLICE_X180Y2:SLICE_X189Y233;
19942 
19943 
19944 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/M*" U_SET="uset_compExch_Layer_10_to_11_sites_07_23";
19945 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
19946 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
19947 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
19948 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
19949 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
19950 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
19951 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
19952 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
19953 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
19954 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
19955 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
19956 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
19957 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
19958 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
19959 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
19960 #INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
19961 #INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
19962 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
19963 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
19964 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
19965 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
19966 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
19967 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
19968 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
19969 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
19970 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
19971 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
19972 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
19973 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
19974 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
19975 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
19976 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
19977 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
19978 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
19979 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
19980 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
19981 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
19982 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
19983 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
19984 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
19985 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
19986 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
19987 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
19988 #INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
19989 #INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
19990 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
19991 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
19992 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
19993 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
19994 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
19995 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
19996 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
19997 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
19998 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
19999 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20000 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20001 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20002 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20003 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20004 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20005 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20006 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20007 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20008 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20009 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20010 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20011 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20012 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20013 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20014 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20015 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20016 
20017 INST "decoder_inst/compExch_Layer_10_to_11_sites_07_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_07_23";
20018 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_07_23" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20019 
20020 
20021 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/M*" U_SET="uset_compExch_Layer_10_to_11_sites_08_24";
20022 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20023 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20024 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20025 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20026 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20027 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20028 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20029 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20030 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20031 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20032 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20033 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20034 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20035 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20036 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20037 #INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20038 #INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20039 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20040 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20041 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20042 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20043 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20044 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20045 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20046 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20047 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20048 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20049 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20050 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20051 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20052 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20053 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20054 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20055 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20056 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20057 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20058 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20059 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20060 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20061 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20062 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20063 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20064 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20065 #INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20066 #INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20067 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20068 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20069 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20070 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20071 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20072 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20073 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20074 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20075 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20076 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20077 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20078 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20079 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20080 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20081 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20082 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20083 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20084 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20085 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20086 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20087 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20088 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20089 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20090 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20091 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20092 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20093 
20094 INST "decoder_inst/compExch_Layer_10_to_11_sites_08_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_08_24";
20095 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_08_24" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20096 
20097 
20098 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/M*" U_SET="uset_compExch_Layer_10_to_11_sites_09_25";
20099 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20100 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20101 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20102 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20103 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20104 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20105 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20106 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20107 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20108 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20109 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20110 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20111 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20112 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20113 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20114 #INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20115 #INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20116 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20117 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20118 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20119 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20120 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20121 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20122 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20123 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20124 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20125 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20126 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20127 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20128 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20129 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20130 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20131 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20132 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20133 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20134 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20135 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20136 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20137 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20138 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20139 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20140 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20141 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20142 #INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20143 #INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20144 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20145 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20146 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20147 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20148 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20149 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20150 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20151 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20152 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20153 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20154 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20155 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20156 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20157 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20158 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20159 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20160 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20161 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20162 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20163 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20164 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20165 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20166 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20167 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20168 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20169 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20170 
20171 INST "decoder_inst/compExch_Layer_10_to_11_sites_09_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_09_25";
20172 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_09_25" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20173 
20174 
20175 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/M*" U_SET="uset_compExch_Layer_10_to_11_sites_10_26";
20176 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20177 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20178 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20179 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20180 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20181 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20182 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20183 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20184 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20185 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20186 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20187 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20188 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20189 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20190 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20191 #INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20192 #INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20193 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20194 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20195 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20196 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20197 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20198 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20199 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20200 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20201 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20202 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20203 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20204 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20205 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20206 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20207 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20208 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20209 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20210 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20211 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20212 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20213 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20214 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20215 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20216 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20217 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20218 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20219 #INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20220 #INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20221 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20222 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20223 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20224 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20225 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20226 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20227 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20228 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20229 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20230 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20231 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20232 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20233 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20234 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20235 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20236 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20237 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20238 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20239 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20240 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20241 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20242 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20243 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20244 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20245 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20246 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20247 
20248 INST "decoder_inst/compExch_Layer_10_to_11_sites_10_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_10_26";
20249 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_10_26" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20250 
20251 
20252 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/M*" U_SET="uset_compExch_Layer_10_to_11_sites_11_27";
20253 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20254 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20255 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20256 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20257 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20258 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20259 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20260 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20261 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20262 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20263 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20264 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20265 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20266 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20267 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20268 #INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20269 #INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20270 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20271 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20272 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20273 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20274 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20275 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20276 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20277 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20278 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20279 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20280 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20281 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20282 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20283 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20284 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20285 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20286 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20287 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20288 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20289 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20290 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20291 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20292 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20293 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20294 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20295 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20296 #INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20297 #INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20298 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20299 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20300 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20301 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20302 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20303 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20304 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20305 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20306 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20307 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20308 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20309 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20310 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20311 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20312 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20313 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20314 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20315 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20316 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20317 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20318 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20319 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20320 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20321 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20322 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20323 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20324 
20325 INST "decoder_inst/compExch_Layer_10_to_11_sites_11_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_11_27";
20326 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_11_27" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20327 
20328 
20329 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/M*" U_SET="uset_compExch_Layer_10_to_11_sites_12_28";
20330 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20331 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20332 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20333 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20334 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20335 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20336 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20337 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20338 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20339 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20340 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20341 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20342 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20343 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20344 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20345 #INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20346 #INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20347 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20348 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20349 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20350 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20351 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20352 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20353 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20354 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20355 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20356 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20357 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20358 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20359 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20360 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20361 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20362 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20363 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20364 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20365 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20366 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20367 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20368 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20369 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20370 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20371 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20372 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20373 #INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20374 #INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20375 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20376 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20377 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20378 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20379 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20380 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20381 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20382 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20383 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20384 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20385 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20386 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20387 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20388 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20389 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20390 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20391 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20392 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20393 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20394 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20395 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20396 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20397 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20398 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20399 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20400 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20401 
20402 INST "decoder_inst/compExch_Layer_10_to_11_sites_12_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_12_28";
20403 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_12_28" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20404 
20405 
20406 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/M*" U_SET="uset_compExch_Layer_10_to_11_sites_13_29";
20407 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20408 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20409 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20410 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20411 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20412 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20413 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20414 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20415 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20416 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20417 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20418 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20419 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20420 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20421 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20422 #INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20423 #INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20424 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20425 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20426 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20427 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20428 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20429 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20430 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20431 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20432 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20433 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20434 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20435 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20436 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20437 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20438 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20439 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20440 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20441 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20442 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20443 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20444 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20445 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20446 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20447 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20448 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20449 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20450 #INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20451 #INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20452 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20453 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20454 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20455 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20456 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20457 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20458 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20459 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20460 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20461 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20462 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20463 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20464 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20465 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20466 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20467 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20468 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20469 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20470 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20471 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20472 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20473 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20474 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20475 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20476 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20477 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20478 
20479 INST "decoder_inst/compExch_Layer_10_to_11_sites_13_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_13_29";
20480 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_13_29" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20481 
20482 
20483 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/M*" U_SET="uset_compExch_Layer_10_to_11_sites_14_30";
20484 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20485 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20486 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20487 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20488 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20489 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20490 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20491 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20492 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20493 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20494 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20495 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20496 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20497 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20498 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20499 #INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20500 #INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20501 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20502 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20503 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20504 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20505 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20506 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20507 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20508 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20509 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20510 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20511 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20512 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20513 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20514 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20515 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20516 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20517 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20518 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20519 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20520 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20521 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20522 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20523 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20524 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20525 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20526 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20527 #INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20528 #INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20529 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20530 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20531 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20532 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20533 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20534 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20535 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20536 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20537 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20538 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20539 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20540 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20541 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20542 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20543 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20544 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20545 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20546 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20547 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20548 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20549 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20550 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20551 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20552 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20553 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20554 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20555 
20556 INST "decoder_inst/compExch_Layer_10_to_11_sites_14_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_14_30";
20557 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_14_30" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20558 
20559 
20560 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/M*" U_SET="uset_compExch_Layer_10_to_11_sites_15_31";
20561 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20562 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20563 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20564 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20565 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20566 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20567 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20568 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20569 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20570 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20571 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20572 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20573 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20574 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20575 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20576 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20577 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20578 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20579 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20580 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20581 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20582 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20583 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20584 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20585 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20586 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20587 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20588 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20589 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20590 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20591 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20592 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20593 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20594 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20595 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20596 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20597 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20598 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20599 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20600 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20601 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20602 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20603 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20604 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20605 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20606 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20607 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20608 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20609 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20610 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20611 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20612 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20613 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20614 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20615 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20616 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20617 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20618 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20619 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20620 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20621 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20622 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20623 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20624 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20625 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20626 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20627 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20628 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20629 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20630 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20631 INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20632 
20633 #INST "decoder_inst/compExch_Layer_10_to_11_sites_15_31/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_15_31";
20634 #AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_15_31" RANGE=SLICE_X180Y2:SLICE_X189Y233;
20635 
20636 
20637 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/M*" U_SET="uset_compExch_Layer_11_to_12_sites_08_16";
20638 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20639 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20640 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20641 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20642 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20643 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20644 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20645 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20646 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20647 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20648 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20649 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20650 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20651 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20652 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20653 #INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20654 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20655 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20656 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20657 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20658 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20659 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20660 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20661 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20662 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20663 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20664 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20665 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20666 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20667 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20668 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20669 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20670 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20671 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20672 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20673 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20674 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20675 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20676 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20677 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20678 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20679 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20680 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20681 #INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20682 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20683 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20684 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20685 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20686 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20687 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20688 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20689 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20690 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20691 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20692 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20693 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20694 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20695 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20696 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20697 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20698 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20699 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20700 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20701 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20702 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20703 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20704 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20705 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20706 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20707 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20708 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20709 
20710 INST "decoder_inst/compExch_Layer_11_to_12_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_08_16";
20711 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_08_16" RANGE=SLICE_X184Y2:SLICE_X193Y233;
20712 
20713 
20714 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/M*" U_SET="uset_compExch_Layer_11_to_12_sites_09_17";
20715 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20716 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20717 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20718 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20719 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20720 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20721 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20722 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20723 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20724 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20725 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20726 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20727 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20728 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20729 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20730 #INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20731 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20732 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20733 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20734 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20735 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20736 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20737 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20738 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20739 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20740 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20741 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20742 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20743 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20744 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20745 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20746 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20747 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20748 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20749 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20750 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20751 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20752 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20753 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20754 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20755 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20756 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20757 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20758 #INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20759 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20760 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20761 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20762 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20763 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20764 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20765 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20766 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20767 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20768 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20769 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20770 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20771 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20772 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20773 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20774 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20775 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20776 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20777 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20778 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20779 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20780 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20781 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20782 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20783 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20784 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20785 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20786 
20787 INST "decoder_inst/compExch_Layer_11_to_12_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_09_17";
20788 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_09_17" RANGE=SLICE_X184Y2:SLICE_X193Y233;
20789 
20790 
20791 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/M*" U_SET="uset_compExch_Layer_11_to_12_sites_10_18";
20792 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20793 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20794 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20795 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20796 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20797 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20798 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20799 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20800 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20801 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20802 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20803 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20804 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20805 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20806 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20807 #INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20808 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20809 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20810 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20811 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20812 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20813 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20814 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20815 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20816 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20817 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20818 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20819 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20820 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20821 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20822 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20823 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20824 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20825 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20826 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20827 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20828 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20829 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20830 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20831 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20832 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20833 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20834 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20835 #INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20836 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20837 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20838 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20839 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20840 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20841 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20842 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20843 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20844 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20845 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20846 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20847 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20848 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20849 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20850 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20851 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20852 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20853 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20854 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20855 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20856 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20857 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20858 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20859 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20860 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20861 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20862 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20863 
20864 INST "decoder_inst/compExch_Layer_11_to_12_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_10_18";
20865 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_10_18" RANGE=SLICE_X184Y2:SLICE_X193Y233;
20866 
20867 
20868 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/M*" U_SET="uset_compExch_Layer_11_to_12_sites_11_19";
20869 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20870 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20871 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20872 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20873 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20874 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20875 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20876 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20877 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20878 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20879 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20880 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20881 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20882 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20883 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20884 #INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20885 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20886 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20887 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20888 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20889 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20890 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20891 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20892 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20893 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20894 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20895 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20896 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20897 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20898 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20899 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20900 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20901 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20902 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20903 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20904 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20905 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20906 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20907 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20908 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20909 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20910 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20911 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20912 #INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20913 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20914 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20915 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20916 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20917 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20918 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20919 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20920 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20921 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20922 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
20923 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
20924 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
20925 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
20926 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
20927 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
20928 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
20929 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
20930 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
20931 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
20932 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
20933 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
20934 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
20935 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
20936 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
20937 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
20938 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
20939 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
20940 
20941 INST "decoder_inst/compExch_Layer_11_to_12_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_11_19";
20942 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_11_19" RANGE=SLICE_X184Y2:SLICE_X193Y233;
20943 
20944 
20945 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/M*" U_SET="uset_compExch_Layer_11_to_12_sites_12_20";
20946 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
20947 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
20948 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
20949 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
20950 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
20951 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
20952 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
20953 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
20954 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
20955 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
20956 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
20957 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
20958 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
20959 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
20960 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
20961 #INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
20962 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
20963 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
20964 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
20965 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
20966 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
20967 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
20968 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
20969 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
20970 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
20971 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
20972 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
20973 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
20974 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
20975 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
20976 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
20977 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
20978 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
20979 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
20980 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
20981 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
20982 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
20983 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
20984 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
20985 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
20986 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
20987 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
20988 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
20989 #INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
20990 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
20991 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
20992 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
20993 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
20994 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
20995 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
20996 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
20997 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
20998 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
20999 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21000 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21001 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21002 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21003 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21004 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21005 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21006 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21007 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21008 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21009 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21010 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21011 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21012 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21013 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21014 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21015 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21016 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21017 
21018 INST "decoder_inst/compExch_Layer_11_to_12_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_12_20";
21019 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_12_20" RANGE=SLICE_X184Y2:SLICE_X193Y233;
21020 
21021 
21022 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/M*" U_SET="uset_compExch_Layer_11_to_12_sites_13_21";
21023 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21024 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21025 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21026 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21027 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21028 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21029 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21030 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21031 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21032 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21033 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21034 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21035 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21036 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21037 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21038 #INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21039 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21040 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21041 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21042 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21043 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21044 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21045 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21046 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21047 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21048 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21049 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21050 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21051 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21052 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21053 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21054 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21055 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21056 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21057 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21058 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21059 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21060 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21061 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21062 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21063 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21064 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21065 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21066 #INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21067 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21068 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21069 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21070 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21071 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21072 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21073 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21074 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21075 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21076 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21077 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21078 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21079 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21080 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21081 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21082 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21083 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21084 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21085 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21086 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21087 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21088 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21089 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21090 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21091 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21092 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21093 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21094 
21095 INST "decoder_inst/compExch_Layer_11_to_12_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_13_21";
21096 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_13_21" RANGE=SLICE_X184Y2:SLICE_X193Y233;
21097 
21098 
21099 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/M*" U_SET="uset_compExch_Layer_11_to_12_sites_14_22";
21100 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21101 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21102 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21103 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21104 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21105 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21106 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21107 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21108 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21109 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21110 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21111 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21112 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21113 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21114 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21115 #INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21116 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21117 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21118 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21119 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21120 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21121 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21122 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21123 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21124 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21125 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21126 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21127 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21128 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21129 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21130 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21131 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21132 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21133 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21134 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21135 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21136 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21137 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21138 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21139 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21140 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21141 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21142 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21143 #INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21144 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21145 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21146 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21147 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21148 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21149 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21150 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21151 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21152 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21153 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21154 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21155 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21156 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21157 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21158 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21159 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21160 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21161 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21162 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21163 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21164 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21165 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21166 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21167 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21168 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21169 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21170 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21171 
21172 INST "decoder_inst/compExch_Layer_11_to_12_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_14_22";
21173 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_14_22" RANGE=SLICE_X184Y2:SLICE_X193Y233;
21174 
21175 
21176 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/M*" U_SET="uset_compExch_Layer_11_to_12_sites_15_23";
21177 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21178 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21179 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21180 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21181 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21182 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21183 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21184 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21185 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21186 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21187 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21188 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21189 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21190 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21191 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21192 #INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21193 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21194 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21195 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21196 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21197 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21198 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21199 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21200 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21201 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21202 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21203 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21204 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21205 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21206 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21207 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21208 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21209 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21210 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21211 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21212 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21213 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21214 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21215 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21216 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21217 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21218 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21219 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21220 #INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21221 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21222 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21223 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21224 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21225 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21226 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21227 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21228 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21229 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21230 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21231 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21232 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21233 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21234 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21235 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21236 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21237 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21238 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21239 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21240 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21241 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21242 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21243 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21244 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21245 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21246 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21247 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21248 
21249 INST "decoder_inst/compExch_Layer_11_to_12_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_15_23";
21250 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_15_23" RANGE=SLICE_X184Y2:SLICE_X193Y233;
21251 
21252 
21253 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/M*" U_SET="uset_compExch_Layer_12_to_13_sites_04_08";
21254 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21255 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21256 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21257 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21258 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21259 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21260 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21261 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21262 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21263 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21264 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21265 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21266 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21267 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21268 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21269 #INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21270 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21271 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21272 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21273 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21274 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21275 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21276 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21277 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21278 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21279 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21280 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21281 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21282 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21283 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21284 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21285 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21286 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21287 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21288 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21289 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21290 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21291 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21292 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21293 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21294 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21295 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21296 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21297 #INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21298 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21299 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21300 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21301 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21302 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21303 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21304 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21305 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21306 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21307 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21308 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21309 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21310 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21311 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21312 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21313 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21314 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21315 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21316 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21317 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21318 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21319 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21320 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21321 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21322 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21323 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21324 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21325 
21326 INST "decoder_inst/compExch_Layer_12_to_13_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_04_08";
21327 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_04_08" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21328 
21329 
21330 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/M*" U_SET="uset_compExch_Layer_12_to_13_sites_05_09";
21331 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21332 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21333 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21334 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21335 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21336 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21337 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21338 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21339 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21340 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21341 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21342 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21343 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21344 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21345 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21346 #INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21347 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21348 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21349 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21350 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21351 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21352 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21353 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21354 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21355 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21356 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21357 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21358 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21359 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21360 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21361 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21362 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21363 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21364 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21365 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21366 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21367 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21368 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21369 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21370 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21371 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21372 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21373 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21374 #INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21375 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21376 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21377 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21378 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21379 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21380 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21381 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21382 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21383 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21384 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21385 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21386 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21387 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21388 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21389 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21390 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21391 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21392 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21393 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21394 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21395 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21396 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21397 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21398 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21399 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21400 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21401 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21402 
21403 INST "decoder_inst/compExch_Layer_12_to_13_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_05_09";
21404 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_05_09" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21405 
21406 
21407 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/M*" U_SET="uset_compExch_Layer_12_to_13_sites_06_10";
21408 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21409 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21410 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21411 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21412 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21413 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21414 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21415 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21416 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21417 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21418 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21419 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21420 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21421 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21422 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21423 #INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21424 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21425 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21426 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21427 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21428 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21429 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21430 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21431 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21432 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21433 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21434 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21435 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21436 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21437 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21438 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21439 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21440 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21441 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21442 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21443 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21444 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21445 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21446 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21447 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21448 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21449 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21450 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21451 #INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21452 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21453 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21454 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21455 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21456 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21457 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21458 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21459 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21460 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21461 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21462 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21463 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21464 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21465 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21466 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21467 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21468 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21469 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21470 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21471 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21472 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21473 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21474 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21475 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21476 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21477 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21478 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21479 
21480 INST "decoder_inst/compExch_Layer_12_to_13_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_06_10";
21481 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_06_10" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21482 
21483 
21484 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/M*" U_SET="uset_compExch_Layer_12_to_13_sites_07_11";
21485 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21486 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21487 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21488 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21489 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21490 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21491 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21492 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21493 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21494 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21495 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21496 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21497 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21498 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21499 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21500 #INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21501 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21502 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21503 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21504 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21505 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21506 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21507 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21508 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21509 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21510 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21511 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21512 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21513 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21514 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21515 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21516 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21517 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21518 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21519 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21520 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21521 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21522 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21523 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21524 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21525 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21526 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21527 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21528 #INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21529 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21530 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21531 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21532 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21533 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21534 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21535 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21536 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21537 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21538 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21539 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21540 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21541 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21542 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21543 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21544 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21545 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21546 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21547 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21548 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21549 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21550 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21551 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21552 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21553 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21554 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21555 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21556 
21557 INST "decoder_inst/compExch_Layer_12_to_13_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_07_11";
21558 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_07_11" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21559 
21560 
21561 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/M*" U_SET="uset_compExch_Layer_12_to_13_sites_12_16";
21562 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21563 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21564 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21565 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21566 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21567 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21568 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21569 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21570 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21571 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21572 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21573 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21574 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21575 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21576 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21577 #INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21578 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21579 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21580 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21581 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21582 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21583 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21584 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21585 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21586 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21587 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21588 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21589 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21590 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21591 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21592 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21593 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21594 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21595 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21596 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21597 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21598 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21599 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21600 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21601 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21602 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21603 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21604 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21605 #INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21606 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21607 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21608 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21609 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21610 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21611 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21612 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21613 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21614 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21615 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21616 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21617 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21618 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21619 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21620 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21621 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21622 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21623 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21624 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21625 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21626 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21627 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21628 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21629 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21630 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21631 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21632 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21633 
21634 INST "decoder_inst/compExch_Layer_12_to_13_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_12_16";
21635 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_12_16" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21636 
21637 
21638 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/M*" U_SET="uset_compExch_Layer_12_to_13_sites_13_17";
21639 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21640 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21641 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21642 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21643 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21644 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21645 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21646 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21647 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21648 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21649 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21650 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21651 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21652 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21653 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21654 #INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21655 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21656 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21657 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21658 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21659 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21660 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21661 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21662 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21663 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21664 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21665 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21666 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21667 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21668 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21669 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21670 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21671 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21672 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21673 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21674 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21675 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21676 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21677 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21678 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21679 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21680 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21681 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21682 #INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21683 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21684 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21685 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21686 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21687 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21688 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21689 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21690 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21691 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21692 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21693 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21694 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21695 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21696 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21697 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21698 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21699 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21700 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21701 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21702 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21703 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21704 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21705 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21706 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21707 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21708 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21709 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21710 
21711 INST "decoder_inst/compExch_Layer_12_to_13_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_13_17";
21712 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_13_17" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21713 
21714 
21715 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/M*" U_SET="uset_compExch_Layer_12_to_13_sites_14_18";
21716 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21717 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21718 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21719 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21720 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21721 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21722 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21723 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21724 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21725 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21726 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21727 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21728 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21729 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21730 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21731 #INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21732 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21733 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21734 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21735 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21736 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21737 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21738 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21739 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21740 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21741 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21742 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21743 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21744 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21745 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21746 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21747 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21748 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21749 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21750 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21751 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21752 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21753 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21754 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21755 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21756 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21757 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21758 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21759 #INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21760 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21761 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21762 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21763 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21764 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21765 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21766 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21767 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21768 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21769 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21770 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21771 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21772 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21773 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21774 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21775 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21776 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21777 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21778 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21779 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21780 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21781 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21782 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21783 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21784 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21785 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21786 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21787 
21788 INST "decoder_inst/compExch_Layer_12_to_13_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_14_18";
21789 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_14_18" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21790 
21791 
21792 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/M*" U_SET="uset_compExch_Layer_12_to_13_sites_15_19";
21793 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21794 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21795 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21796 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21797 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21798 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21799 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21800 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21801 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21802 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21803 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21804 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21805 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21806 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21807 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21808 #INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21809 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21810 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21811 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21812 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21813 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21814 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21815 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21816 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21817 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21818 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21819 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21820 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21821 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21822 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21823 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21824 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21825 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21826 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21827 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21828 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21829 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21830 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21831 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21832 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21833 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21834 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21835 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21836 #INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21837 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21838 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21839 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21840 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21841 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21842 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21843 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21844 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21845 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21846 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21847 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21848 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21849 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21850 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21851 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21852 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21853 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21854 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21855 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21856 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21857 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21858 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21859 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21860 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21861 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21862 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21863 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21864 
21865 INST "decoder_inst/compExch_Layer_12_to_13_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_15_19";
21866 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_15_19" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21867 
21868 
21869 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/M*" U_SET="uset_compExch_Layer_12_to_13_sites_20_24";
21870 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21871 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21872 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21873 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21874 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21875 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21876 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21877 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21878 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21879 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21880 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21881 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21882 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21883 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21884 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21885 #INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21886 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21887 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21888 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21889 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21890 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21891 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21892 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21893 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21894 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21895 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21896 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21897 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21898 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21899 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21900 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21901 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21902 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21903 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21904 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21905 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21906 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21907 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21908 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21909 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21910 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21911 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21912 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21913 #INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21914 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21915 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21916 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21917 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21918 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21919 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21920 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21921 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21922 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
21923 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
21924 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
21925 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
21926 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
21927 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
21928 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
21929 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
21930 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
21931 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
21932 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
21933 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
21934 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
21935 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
21936 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
21937 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
21938 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
21939 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
21940 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
21941 
21942 INST "decoder_inst/compExch_Layer_12_to_13_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_20_24";
21943 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_20_24" RANGE=SLICE_X188Y2:SLICE_X197Y233;
21944 
21945 
21946 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/M*" U_SET="uset_compExch_Layer_12_to_13_sites_21_25";
21947 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
21948 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
21949 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
21950 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
21951 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
21952 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
21953 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
21954 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
21955 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
21956 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
21957 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
21958 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
21959 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
21960 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
21961 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
21962 #INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
21963 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
21964 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
21965 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
21966 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
21967 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
21968 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
21969 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
21970 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
21971 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
21972 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
21973 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
21974 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
21975 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
21976 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
21977 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
21978 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
21979 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
21980 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
21981 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
21982 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
21983 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
21984 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
21985 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
21986 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
21987 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
21988 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
21989 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
21990 #INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
21991 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
21992 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
21993 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
21994 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
21995 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
21996 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
21997 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
21998 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
21999 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22000 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22001 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22002 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22003 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22004 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22005 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22006 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22007 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22008 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22009 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22010 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22011 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22012 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22013 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22014 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22015 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22016 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22017 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22018 
22019 INST "decoder_inst/compExch_Layer_12_to_13_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_21_25";
22020 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_21_25" RANGE=SLICE_X188Y2:SLICE_X197Y233;
22021 
22022 
22023 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/M*" U_SET="uset_compExch_Layer_12_to_13_sites_22_26";
22024 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22025 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22026 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22027 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22028 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22029 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22030 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22031 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22032 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22033 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22034 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22035 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22036 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22037 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22038 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22039 #INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22040 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22041 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22042 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22043 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22044 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22045 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22046 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22047 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22048 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22049 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22050 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22051 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22052 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22053 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22054 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22055 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22056 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22057 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22058 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22059 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22060 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22061 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22062 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22063 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22064 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22065 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22066 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22067 #INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22068 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22069 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22070 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22071 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22072 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22073 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22074 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22075 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22076 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22077 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22078 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22079 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22080 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22081 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22082 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22083 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22084 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22085 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22086 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22087 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22088 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22089 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22090 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22091 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22092 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22093 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22094 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22095 
22096 INST "decoder_inst/compExch_Layer_12_to_13_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_22_26";
22097 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_22_26" RANGE=SLICE_X188Y2:SLICE_X197Y233;
22098 
22099 
22100 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/M*" U_SET="uset_compExch_Layer_12_to_13_sites_23_27";
22101 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22102 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22103 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22104 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22105 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22106 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22107 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22108 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22109 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22110 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22111 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22112 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22113 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22114 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22115 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22116 #INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22117 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22118 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22119 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22120 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22121 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22122 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22123 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22124 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22125 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22126 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22127 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22128 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22129 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22130 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22131 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22132 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22133 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22134 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22135 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22136 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22137 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22138 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22139 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22140 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22141 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22142 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22143 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22144 #INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22145 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22146 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22147 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22148 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22149 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22150 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22151 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22152 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22153 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22154 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22155 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22156 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22157 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22158 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22159 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22160 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22161 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22162 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22163 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22164 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22165 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22166 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22167 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22168 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22169 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22170 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22171 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22172 
22173 INST "decoder_inst/compExch_Layer_12_to_13_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_23_27";
22174 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_23_27" RANGE=SLICE_X188Y2:SLICE_X197Y233;
22175 
22176 
22177 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/M*" U_SET="uset_compExch_Layer_13_to_14_sites_02_04";
22178 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22179 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22180 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22181 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22182 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22183 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22184 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22185 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22186 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22187 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22188 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22189 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22190 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22191 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22192 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22193 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22194 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22195 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22196 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22197 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22198 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22199 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22200 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22201 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22202 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22203 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22204 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22205 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22206 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22207 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22208 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22209 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22210 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22211 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22212 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22213 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22214 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22215 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22216 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22217 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22218 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22219 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22220 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22221 #INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22222 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22223 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22224 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22225 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22226 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22227 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22228 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22229 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22230 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22231 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22232 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22233 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22234 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22235 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22236 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22237 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22238 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22239 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22240 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22241 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22242 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22243 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22244 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22245 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22246 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22247 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22248 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22249 
22250 INST "decoder_inst/compExch_Layer_13_to_14_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_02_04";
22251 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_02_04" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22252 
22253 
22254 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/M*" U_SET="uset_compExch_Layer_13_to_14_sites_03_05";
22255 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22256 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22257 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22258 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22259 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22260 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22261 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22262 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22263 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22264 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22265 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22266 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22267 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22268 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22269 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22270 #INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22271 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22272 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22273 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22274 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22275 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22276 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22277 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22278 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22279 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22280 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22281 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22282 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22283 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22284 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22285 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22286 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22287 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22288 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22289 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22290 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22291 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22292 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22293 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22294 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22295 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22296 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22297 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22298 #INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22299 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22300 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22301 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22302 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22303 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22304 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22305 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22306 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22307 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22308 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22309 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22310 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22311 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22312 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22313 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22314 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22315 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22316 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22317 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22318 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22319 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22320 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22321 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22322 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22323 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22324 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22325 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22326 
22327 INST "decoder_inst/compExch_Layer_13_to_14_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_03_05";
22328 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_03_05" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22329 
22330 
22331 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/M*" U_SET="uset_compExch_Layer_13_to_14_sites_06_08";
22332 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22333 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22334 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22335 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22336 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22337 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22338 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22339 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22340 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22341 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22342 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22343 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22344 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22345 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22346 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22347 #INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22348 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22349 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22350 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22351 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22352 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22353 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22354 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22355 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22356 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22357 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22358 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22359 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22360 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22361 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22362 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22363 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22364 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22365 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22366 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22367 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22368 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22369 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22370 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22371 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22372 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22373 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22374 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22375 #INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22376 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22377 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22378 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22379 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22380 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22381 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22382 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22383 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22384 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22385 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22386 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22387 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22388 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22389 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22390 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22391 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22392 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22393 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22394 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22395 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22396 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22397 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22398 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22399 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22400 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22401 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22402 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22403 
22404 INST "decoder_inst/compExch_Layer_13_to_14_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_06_08";
22405 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_06_08" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22406 
22407 
22408 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/M*" U_SET="uset_compExch_Layer_13_to_14_sites_07_09";
22409 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22410 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22411 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22412 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22413 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22414 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22415 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22416 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22417 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22418 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22419 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22420 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22421 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22422 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22423 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22424 #INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22425 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22426 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22427 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22428 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22429 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22430 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22431 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22432 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22433 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22434 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22435 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22436 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22437 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22438 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22439 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22440 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22441 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22442 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22443 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22444 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22445 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22446 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22447 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22448 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22449 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22450 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22451 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22452 #INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22453 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22454 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22455 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22456 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22457 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22458 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22459 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22460 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22461 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22462 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22463 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22464 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22465 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22466 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22467 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22468 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22469 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22470 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22471 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22472 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22473 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22474 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22475 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22476 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22477 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22478 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22479 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22480 
22481 INST "decoder_inst/compExch_Layer_13_to_14_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_07_09";
22482 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_07_09" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22483 
22484 
22485 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/M*" U_SET="uset_compExch_Layer_13_to_14_sites_10_12";
22486 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22487 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22488 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22489 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22490 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22491 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22492 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22493 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22494 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22495 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22496 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22497 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22498 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22499 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22500 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22501 #INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22502 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22503 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22504 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22505 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22506 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22507 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22508 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22509 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22510 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22511 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22512 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22513 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22514 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22515 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22516 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22517 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22518 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22519 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22520 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22521 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22522 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22523 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22524 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22525 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22526 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22527 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22528 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22529 #INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22530 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22531 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22532 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22533 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22534 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22535 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22536 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22537 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22538 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22539 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22540 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22541 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22542 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22543 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22544 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22545 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22546 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22547 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22548 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22549 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22550 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22551 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22552 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22553 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22554 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22555 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22556 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22557 
22558 INST "decoder_inst/compExch_Layer_13_to_14_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_10_12";
22559 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_10_12" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22560 
22561 
22562 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/M*" U_SET="uset_compExch_Layer_13_to_14_sites_11_13";
22563 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22564 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22565 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22566 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22567 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22568 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22569 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22570 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22571 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22572 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22573 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22574 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22575 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22576 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22577 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22578 #INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22579 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22580 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22581 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22582 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22583 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22584 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22585 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22586 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22587 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22588 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22589 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22590 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22591 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22592 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22593 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22594 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22595 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22596 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22597 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22598 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22599 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22600 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22601 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22602 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22603 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22604 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22605 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22606 #INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22607 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22608 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22609 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22610 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22611 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22612 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22613 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22614 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22615 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22616 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22617 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22618 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22619 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22620 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22621 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22622 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22623 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22624 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22625 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22626 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22627 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22628 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22629 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22630 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22631 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22632 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22633 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22634 
22635 INST "decoder_inst/compExch_Layer_13_to_14_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_11_13";
22636 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_11_13" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22637 
22638 
22639 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/M*" U_SET="uset_compExch_Layer_13_to_14_sites_14_16";
22640 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22641 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22642 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22643 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22644 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22645 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22646 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22647 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22648 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22649 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22650 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22651 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22652 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22653 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22654 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22655 #INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22656 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22657 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22658 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22659 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22660 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22661 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22662 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22663 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22664 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22665 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22666 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22667 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22668 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22669 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22670 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22671 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22672 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22673 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22674 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22675 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22676 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22677 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22678 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22679 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22680 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22681 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22682 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22683 #INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22684 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22685 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22686 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22687 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22688 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22689 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22690 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22691 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22692 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22693 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22694 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22695 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22696 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22697 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22698 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22699 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22700 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22701 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22702 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22703 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22704 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22705 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22706 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22707 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22708 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22709 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22710 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22711 
22712 INST "decoder_inst/compExch_Layer_13_to_14_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_14_16";
22713 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_14_16" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22714 
22715 
22716 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/M*" U_SET="uset_compExch_Layer_13_to_14_sites_15_17";
22717 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22718 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22719 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22720 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22721 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22722 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22723 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22724 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22725 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22726 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22727 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22728 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22729 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22730 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22731 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22732 #INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22733 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22734 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22735 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22736 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22737 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22738 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22739 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22740 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22741 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22742 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22743 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22744 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22745 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22746 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22747 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22748 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22749 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22750 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22751 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22752 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22753 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22754 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22755 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22756 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22757 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22758 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22759 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22760 #INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22761 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22762 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22763 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22764 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22765 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22766 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22767 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22768 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22769 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22770 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22771 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22772 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22773 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22774 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22775 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22776 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22777 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22778 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22779 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22780 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22781 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22782 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22783 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22784 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22785 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22786 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22787 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22788 
22789 INST "decoder_inst/compExch_Layer_13_to_14_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_15_17";
22790 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_15_17" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22791 
22792 
22793 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/M*" U_SET="uset_compExch_Layer_13_to_14_sites_18_20";
22794 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22795 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22796 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22797 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22798 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22799 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22800 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22801 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22802 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22803 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22804 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22805 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22806 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22807 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22808 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22809 #INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22810 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22811 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22812 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22813 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22814 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22815 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22816 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22817 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22818 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22819 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22820 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22821 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22822 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22823 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22824 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22825 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22826 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22827 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22828 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22829 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22830 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22831 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22832 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22833 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22834 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22835 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22836 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22837 #INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22838 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22839 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22840 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22841 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22842 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22843 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22844 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22845 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22846 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22847 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22848 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22849 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22850 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22851 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22852 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22853 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22854 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22855 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22856 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22857 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22858 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22859 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22860 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22861 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22862 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22863 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22864 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22865 
22866 INST "decoder_inst/compExch_Layer_13_to_14_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_18_20";
22867 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_18_20" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22868 
22869 
22870 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/M*" U_SET="uset_compExch_Layer_13_to_14_sites_19_21";
22871 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22872 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22873 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22874 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22875 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22876 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22877 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22878 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22879 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22880 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22881 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22882 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22883 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22884 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22885 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22886 #INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22887 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22888 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22889 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22890 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22891 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22892 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22893 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22894 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22895 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22896 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22897 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22898 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22899 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22900 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22901 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22902 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22903 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22904 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22905 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22906 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22907 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22908 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22909 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22910 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22911 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22912 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22913 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22914 #INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22915 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22916 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22917 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22918 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22919 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22920 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22921 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22922 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
22923 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
22924 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
22925 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
22926 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
22927 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
22928 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
22929 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
22930 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
22931 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
22932 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
22933 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
22934 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
22935 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
22936 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
22937 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
22938 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
22939 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
22940 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
22941 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
22942 
22943 INST "decoder_inst/compExch_Layer_13_to_14_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_19_21";
22944 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_19_21" RANGE=SLICE_X192Y2:SLICE_X201Y233;
22945 
22946 
22947 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/M*" U_SET="uset_compExch_Layer_13_to_14_sites_22_24";
22948 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
22949 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
22950 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
22951 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
22952 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
22953 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
22954 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
22955 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
22956 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
22957 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
22958 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
22959 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
22960 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
22961 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
22962 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
22963 #INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
22964 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
22965 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
22966 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
22967 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
22968 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
22969 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
22970 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
22971 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
22972 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
22973 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
22974 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
22975 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
22976 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
22977 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
22978 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
22979 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
22980 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
22981 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
22982 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
22983 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
22984 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
22985 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
22986 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
22987 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
22988 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
22989 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
22990 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
22991 #INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
22992 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
22993 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
22994 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
22995 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
22996 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
22997 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
22998 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
22999 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23000 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23001 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23002 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23003 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23004 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23005 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23006 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23007 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23008 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23009 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23010 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23011 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23012 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23013 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23014 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23015 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23016 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23017 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23018 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23019 
23020 INST "decoder_inst/compExch_Layer_13_to_14_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_22_24";
23021 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_22_24" RANGE=SLICE_X192Y2:SLICE_X201Y233;
23022 
23023 
23024 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/M*" U_SET="uset_compExch_Layer_13_to_14_sites_23_25";
23025 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23026 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23027 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23028 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23029 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23030 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23031 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23032 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23033 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23034 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23035 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23036 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23037 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23038 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23039 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23040 #INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23041 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23042 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23043 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23044 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23045 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23046 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23047 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23048 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23049 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23050 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23051 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23052 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23053 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23054 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23055 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23056 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23057 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23058 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23059 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23060 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23061 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23062 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23063 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23064 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23065 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23066 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23067 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23068 #INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23069 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23070 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23071 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23072 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23073 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23074 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23075 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23076 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23077 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23078 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23079 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23080 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23081 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23082 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23083 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23084 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23085 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23086 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23087 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23088 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23089 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23090 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23091 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23092 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23093 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23094 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23095 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23096 
23097 INST "decoder_inst/compExch_Layer_13_to_14_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_23_25";
23098 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_23_25" RANGE=SLICE_X192Y2:SLICE_X201Y233;
23099 
23100 
23101 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/M*" U_SET="uset_compExch_Layer_13_to_14_sites_26_28";
23102 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23103 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23104 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23105 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23106 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23107 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23108 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23109 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23110 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23111 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23112 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23113 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23114 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23115 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23116 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23117 #INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23118 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23119 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23120 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23121 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23122 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23123 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23124 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23125 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23126 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23127 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23128 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23129 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23130 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23131 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23132 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23133 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23134 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23135 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23136 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23137 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23138 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23139 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23140 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23141 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23142 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23143 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23144 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23145 #INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23146 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23147 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23148 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23149 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23150 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23151 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23152 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23153 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23154 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23155 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23156 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23157 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23158 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23159 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23160 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23161 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23162 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23163 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23164 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23165 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23166 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23167 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23168 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23169 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23170 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23171 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23172 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23173 
23174 INST "decoder_inst/compExch_Layer_13_to_14_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_26_28";
23175 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_26_28" RANGE=SLICE_X192Y2:SLICE_X201Y233;
23176 
23177 
23178 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/M*" U_SET="uset_compExch_Layer_13_to_14_sites_27_29";
23179 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23180 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23181 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23182 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23183 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23184 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23185 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23186 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23187 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23188 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23189 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23190 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23191 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23192 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23193 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23194 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23195 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23196 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23197 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23198 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23199 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23200 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23201 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23202 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23203 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23204 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23205 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23206 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23207 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23208 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23209 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23210 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23211 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23212 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23213 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23214 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23215 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23216 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23217 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23218 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23219 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23220 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23221 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23222 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23223 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23224 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23225 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23226 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23227 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23228 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23229 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23230 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23231 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23232 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23233 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23234 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23235 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23236 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23237 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23238 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23239 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23240 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23241 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23242 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23243 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23244 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23245 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23246 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23247 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23248 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23249 #INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23250 
23251 INST "decoder_inst/compExch_Layer_13_to_14_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_27_29";
23252 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_27_29" RANGE=SLICE_X192Y2:SLICE_X201Y233;
23253 
23254 
23255 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/M*" U_SET="uset_compExch_Layer_14_to_15_sites_01_02";
23256 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23257 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23258 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23259 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23260 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23261 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23262 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23263 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23264 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23265 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23266 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23267 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23268 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23269 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23270 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23271 #INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23272 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23273 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23274 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23275 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23276 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23277 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23278 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23279 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23280 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23281 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23282 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23283 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23284 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23285 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23286 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23287 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23288 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23289 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23290 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23291 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23292 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23293 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23294 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23295 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23296 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23297 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23298 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23299 #INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23300 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23301 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23302 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23303 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23304 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23305 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23306 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23307 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23308 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23309 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23310 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23311 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23312 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23313 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23314 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23315 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23316 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23317 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23318 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23319 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23320 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23321 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23322 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23323 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23324 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23325 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23326 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23327 
23328 INST "decoder_inst/compExch_Layer_14_to_15_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_01_02";
23329 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_01_02" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23330 
23331 
23332 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/M*" U_SET="uset_compExch_Layer_14_to_15_sites_03_04";
23333 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23334 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23335 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23336 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23337 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23338 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23339 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23340 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23341 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23342 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23343 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23344 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23345 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23346 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23347 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23348 #INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23349 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23350 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23351 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23352 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23353 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23354 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23355 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23356 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23357 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23358 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23359 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23360 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23361 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23362 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23363 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23364 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23365 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23366 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23367 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23368 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23369 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23370 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23371 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23372 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23373 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23374 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23375 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23376 #INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23377 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23378 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23379 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23380 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23381 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23382 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23383 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23384 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23385 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23386 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23387 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23388 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23389 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23390 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23391 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23392 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23393 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23394 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23395 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23396 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23397 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23398 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23399 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23400 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23401 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23402 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23403 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23404 
23405 INST "decoder_inst/compExch_Layer_14_to_15_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_03_04";
23406 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_03_04" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23407 
23408 
23409 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/M*" U_SET="uset_compExch_Layer_14_to_15_sites_05_06";
23410 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23411 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23412 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23413 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23414 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23415 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23416 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23417 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23418 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23419 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23420 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23421 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23422 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23423 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23424 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23425 #INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23426 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23427 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23428 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23429 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23430 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23431 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23432 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23433 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23434 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23435 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23436 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23437 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23438 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23439 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23440 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23441 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23442 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23443 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23444 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23445 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23446 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23447 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23448 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23449 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23450 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23451 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23452 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23453 #INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23454 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23455 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23456 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23457 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23458 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23459 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23460 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23461 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23462 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23463 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23464 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23465 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23466 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23467 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23468 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23469 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23470 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23471 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23472 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23473 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23474 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23475 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23476 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23477 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23478 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23479 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23480 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23481 
23482 INST "decoder_inst/compExch_Layer_14_to_15_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_05_06";
23483 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_05_06" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23484 
23485 
23486 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/M*" U_SET="uset_compExch_Layer_14_to_15_sites_07_08";
23487 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23488 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23489 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23490 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23491 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23492 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23493 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23494 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23495 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23496 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23497 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23498 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23499 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23500 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23501 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23502 #INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23503 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23504 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23505 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23506 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23507 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23508 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23509 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23510 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23511 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23512 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23513 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23514 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23515 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23516 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23517 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23518 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23519 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23520 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23521 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23522 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23523 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23524 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23525 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23526 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23527 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23528 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23529 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23530 #INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23531 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23532 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23533 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23534 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23535 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23536 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23537 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23538 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23539 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23540 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23541 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23542 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23543 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23544 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23545 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23546 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23547 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23548 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23549 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23550 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23551 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23552 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23553 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23554 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23555 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23556 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23557 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23558 
23559 INST "decoder_inst/compExch_Layer_14_to_15_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_07_08";
23560 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_07_08" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23561 
23562 
23563 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/M*" U_SET="uset_compExch_Layer_14_to_15_sites_09_10";
23564 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23565 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23566 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23567 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23568 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23569 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23570 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23571 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23572 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23573 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23574 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23575 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23576 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23577 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23578 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23579 #INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23580 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23581 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23582 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23583 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23584 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23585 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23586 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23587 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23588 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23589 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23590 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23591 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23592 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23593 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23594 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23595 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23596 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23597 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23598 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23599 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23600 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23601 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23602 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23603 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23604 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23605 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23606 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23607 #INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23608 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23609 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23610 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23611 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23612 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23613 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23614 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23615 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23616 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23617 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23618 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23619 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23620 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23621 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23622 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23623 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23624 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23625 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23626 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23627 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23628 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23629 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23630 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23631 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23632 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23633 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23634 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23635 
23636 INST "decoder_inst/compExch_Layer_14_to_15_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_09_10";
23637 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_09_10" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23638 
23639 
23640 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/M*" U_SET="uset_compExch_Layer_14_to_15_sites_11_12";
23641 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23642 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23643 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23644 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23645 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23646 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23647 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23648 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23649 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23650 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23651 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23652 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23653 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23654 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23655 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23656 #INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23657 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23658 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23659 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23660 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23661 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23662 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23663 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23664 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23665 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23666 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23667 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23668 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23669 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23670 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23671 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23672 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23673 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23674 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23675 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23676 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23677 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23678 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23679 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23680 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23681 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23682 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23683 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23684 #INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23685 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23686 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23687 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23688 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23689 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23690 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23691 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23692 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23693 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23694 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23695 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23696 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23697 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23698 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23699 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23700 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23701 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23702 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23703 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23704 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23705 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23706 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23707 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23708 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23709 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23710 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23711 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23712 
23713 INST "decoder_inst/compExch_Layer_14_to_15_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_11_12";
23714 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_11_12" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23715 
23716 
23717 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/M*" U_SET="uset_compExch_Layer_14_to_15_sites_13_14";
23718 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23719 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23720 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23721 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23722 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23723 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23724 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23725 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23726 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23727 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23728 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23729 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23730 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23731 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23732 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23733 #INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23734 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23735 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23736 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23737 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23738 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23739 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23740 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23741 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23742 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23743 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23744 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23745 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23746 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23747 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23748 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23749 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23750 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23751 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23752 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23753 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23754 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23755 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23756 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23757 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23758 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23759 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23760 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23761 #INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23762 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23763 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23764 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23765 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23766 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23767 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23768 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23769 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23770 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23771 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23772 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23773 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23774 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23775 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23776 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23777 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23778 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23779 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23780 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23781 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23782 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23783 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23784 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23785 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23786 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23787 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23788 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23789 
23790 INST "decoder_inst/compExch_Layer_14_to_15_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_13_14";
23791 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_13_14" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23792 
23793 
23794 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/M*" U_SET="uset_compExch_Layer_14_to_15_sites_15_16";
23795 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23796 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23797 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23798 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23799 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23800 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23801 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23802 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23803 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23804 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23805 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23806 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23807 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23808 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23809 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23810 #INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23811 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23812 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23813 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23814 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23815 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23816 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23817 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23818 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23819 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23820 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23821 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23822 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23823 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23824 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23825 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23826 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23827 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23828 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23829 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23830 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23831 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23832 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23833 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23834 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23835 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23836 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23837 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23838 #INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23839 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23840 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23841 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23842 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23843 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23844 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23845 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23846 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23847 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23848 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23849 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23850 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23851 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23852 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23853 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23854 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23855 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23856 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23857 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23858 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23859 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23860 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23861 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23862 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23863 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23864 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23865 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23866 
23867 INST "decoder_inst/compExch_Layer_14_to_15_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_15_16";
23868 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_15_16" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23869 
23870 
23871 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/M*" U_SET="uset_compExch_Layer_14_to_15_sites_17_18";
23872 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23873 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23874 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23875 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23876 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23877 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23878 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23879 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23880 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23881 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23882 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23883 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23884 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23885 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23886 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23887 #INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23888 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23889 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23890 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23891 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23892 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23893 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23894 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23895 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23896 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23897 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23898 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23899 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23900 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23901 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23902 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23903 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23904 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23905 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23906 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23907 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23908 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23909 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23910 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23911 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23912 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23913 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23914 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23915 #INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23916 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23917 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23918 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23919 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23920 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23921 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23922 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
23923 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
23924 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
23925 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
23926 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
23927 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
23928 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
23929 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
23930 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
23931 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
23932 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
23933 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
23934 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
23935 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
23936 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
23937 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
23938 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
23939 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
23940 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
23941 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
23942 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
23943 
23944 INST "decoder_inst/compExch_Layer_14_to_15_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_17_18";
23945 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_17_18" RANGE=SLICE_X196Y2:SLICE_X205Y233;
23946 
23947 
23948 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/M*" U_SET="uset_compExch_Layer_14_to_15_sites_19_20";
23949 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
23950 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
23951 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
23952 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
23953 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
23954 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
23955 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
23956 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
23957 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
23958 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
23959 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
23960 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
23961 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
23962 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
23963 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
23964 #INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
23965 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
23966 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
23967 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
23968 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
23969 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
23970 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
23971 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
23972 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
23973 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
23974 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
23975 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
23976 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
23977 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
23978 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
23979 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
23980 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
23981 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
23982 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
23983 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
23984 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
23985 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
23986 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
23987 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
23988 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
23989 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
23990 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
23991 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
23992 #INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
23993 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
23994 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
23995 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
23996 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
23997 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
23998 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
23999 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24000 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24001 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24002 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24003 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24004 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24005 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24006 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24007 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24008 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24009 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24010 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24011 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24012 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24013 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24014 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24015 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24016 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24017 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24018 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24019 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24020 
24021 INST "decoder_inst/compExch_Layer_14_to_15_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_19_20";
24022 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_19_20" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24023 
24024 
24025 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/M*" U_SET="uset_compExch_Layer_14_to_15_sites_21_22";
24026 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24027 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24028 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24029 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24030 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24031 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24032 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24033 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24034 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24035 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24036 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24037 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24038 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24039 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24040 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24041 #INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24042 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24043 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24044 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24045 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24046 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24047 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24048 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24049 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24050 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24051 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24052 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24053 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24054 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24055 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24056 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24057 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24058 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24059 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24060 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24061 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24062 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24063 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24064 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24065 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24066 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24067 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24068 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24069 #INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24070 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24071 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24072 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24073 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24074 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24075 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24076 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24077 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24078 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24079 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24080 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24081 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24082 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24083 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24084 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24085 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24086 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24087 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24088 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24089 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24090 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24091 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24092 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24093 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24094 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24095 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24096 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24097 
24098 INST "decoder_inst/compExch_Layer_14_to_15_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_21_22";
24099 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_21_22" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24100 
24101 
24102 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/M*" U_SET="uset_compExch_Layer_14_to_15_sites_23_24";
24103 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24104 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24105 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24106 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24107 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24108 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24109 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24110 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24111 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24112 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24113 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24114 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24115 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24116 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24117 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24118 #INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24119 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24120 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24121 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24122 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24123 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24124 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24125 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24126 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24127 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24128 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24129 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24130 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24131 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24132 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24133 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24134 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24135 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24136 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24137 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24138 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24139 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24140 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24141 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24142 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24143 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24144 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24145 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24146 #INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24147 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24148 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24149 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24150 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24151 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24152 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24153 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24154 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24155 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24156 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24157 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24158 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24159 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24160 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24161 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24162 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24163 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24164 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24165 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24166 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24167 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24168 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24169 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24170 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24171 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24172 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24173 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24174 
24175 INST "decoder_inst/compExch_Layer_14_to_15_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_23_24";
24176 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_23_24" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24177 
24178 
24179 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/M*" U_SET="uset_compExch_Layer_14_to_15_sites_25_26";
24180 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24181 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24182 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24183 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24184 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24185 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24186 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24187 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24188 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24189 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24190 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24191 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24192 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24193 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24194 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24195 #INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24196 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24197 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24198 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24199 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24200 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24201 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24202 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24203 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24204 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24205 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24206 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24207 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24208 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24209 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24210 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24211 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24212 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24213 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24214 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24215 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24216 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24217 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24218 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24219 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24220 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24221 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24222 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24223 #INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24224 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24225 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24226 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24227 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24228 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24229 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24230 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24231 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24232 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24233 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24234 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24235 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24236 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24237 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24238 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24239 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24240 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24241 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24242 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24243 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24244 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24245 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24246 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24247 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24248 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24249 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24250 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24251 
24252 INST "decoder_inst/compExch_Layer_14_to_15_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_25_26";
24253 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_25_26" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24254 
24255 
24256 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/M*" U_SET="uset_compExch_Layer_14_to_15_sites_27_28";
24257 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24258 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24259 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24260 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24261 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24262 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24263 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24264 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24265 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24266 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24267 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24268 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24269 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24270 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24271 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24272 #INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24273 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24274 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24275 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24276 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24277 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24278 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24279 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24280 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24281 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24282 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24283 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24284 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24285 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24286 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24287 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24288 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24289 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24290 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24291 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24292 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24293 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24294 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24295 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24296 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24297 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24298 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24299 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24300 #INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24301 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24302 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24303 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24304 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24305 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24306 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24307 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24308 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24309 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24310 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24311 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24312 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24313 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24314 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24315 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24316 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24317 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24318 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24319 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24320 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24321 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24322 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24323 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24324 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24325 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24326 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24327 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24328 
24329 INST "decoder_inst/compExch_Layer_14_to_15_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_27_28";
24330 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_27_28" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24331 
24332 
24333 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/M*" U_SET="uset_compExch_Layer_14_to_15_sites_29_30";
24334 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24335 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24336 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24337 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24338 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24339 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24340 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24341 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24342 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24343 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24344 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24345 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24346 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24347 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24348 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24349 #INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24350 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24351 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24352 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24353 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24354 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24355 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24356 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24357 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24358 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24359 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24360 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24361 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24362 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24363 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24364 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24365 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24366 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24367 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24368 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24369 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24370 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24371 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24372 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24373 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24374 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24375 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24376 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24377 #INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24378 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24379 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24380 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24381 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24382 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24383 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24384 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24385 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24386 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24387 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24388 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24389 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24390 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24391 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24392 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24393 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24394 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24395 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24396 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24397 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24398 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24399 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24400 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24401 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24402 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24403 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24404 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24405 
24406 INST "decoder_inst/compExch_Layer_14_to_15_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_29_30";
24407 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_29_30" RANGE=SLICE_X196Y2:SLICE_X205Y233;
24408 
24409 
24410 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/M*" U_SET="uset_compExch_Layer_10_to_11_sites_32_48";
24411 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24412 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24413 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24414 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24415 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24416 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24417 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24418 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24419 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24420 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24421 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24422 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24423 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24424 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24425 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24426 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24427 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24428 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24429 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24430 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24431 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24432 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24433 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24434 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24435 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24436 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24437 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24438 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24439 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24440 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24441 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24442 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24443 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24444 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24445 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24446 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24447 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24448 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24449 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24450 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24451 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24452 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24453 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24454 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24455 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24456 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24457 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24458 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24459 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24460 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24461 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24462 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24463 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24464 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24465 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24466 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24467 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24468 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24469 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24470 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24471 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24472 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24473 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24474 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24475 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24476 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24477 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24478 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24479 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24480 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24481 INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24482 
24483 #INST "decoder_inst/compExch_Layer_10_to_11_sites_32_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_32_48";
24484 #AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_32_48" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24485 
24486 
24487 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/M*" U_SET="uset_compExch_Layer_10_to_11_sites_33_49";
24488 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24489 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24490 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24491 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24492 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24493 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24494 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24495 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24496 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24497 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24498 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24499 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24500 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24501 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24502 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24503 #INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24504 #INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24505 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24506 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24507 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24508 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24509 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24510 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24511 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24512 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24513 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24514 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24515 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24516 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24517 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24518 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24519 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24520 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24521 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24522 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24523 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24524 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24525 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24526 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24527 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24528 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24529 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24530 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24531 #INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24532 #INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24533 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24534 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24535 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24536 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24537 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24538 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24539 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24540 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24541 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24542 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24543 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24544 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24545 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24546 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24547 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24548 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24549 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24550 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24551 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24552 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24553 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24554 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24555 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24556 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24557 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24558 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24559 
24560 INST "decoder_inst/compExch_Layer_10_to_11_sites_33_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_33_49";
24561 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_33_49" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24562 
24563 
24564 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/M*" U_SET="uset_compExch_Layer_10_to_11_sites_34_50";
24565 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24566 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24567 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24568 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24569 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24570 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24571 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24572 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24573 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24574 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24575 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24576 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24577 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24578 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24579 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24580 #INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24581 #INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24582 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24583 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24584 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24585 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24586 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24587 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24588 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24589 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24590 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24591 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24592 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24593 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24594 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24595 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24596 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24597 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24598 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24599 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24600 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24601 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24602 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24603 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24604 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24605 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24606 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24607 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24608 #INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24609 #INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24610 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24611 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24612 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24613 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24614 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24615 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24616 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24617 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24618 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24619 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24620 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24621 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24622 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24623 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24624 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24625 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24626 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24627 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24628 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24629 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24630 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24631 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24632 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24633 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24634 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24635 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24636 
24637 INST "decoder_inst/compExch_Layer_10_to_11_sites_34_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_34_50";
24638 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_34_50" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24639 
24640 
24641 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/M*" U_SET="uset_compExch_Layer_10_to_11_sites_35_51";
24642 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24643 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24644 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24645 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24646 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24647 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24648 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24649 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24650 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24651 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24652 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24653 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24654 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24655 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24656 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24657 #INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24658 #INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24659 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24660 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24661 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24662 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24663 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24664 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24665 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24666 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24667 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24668 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24669 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24670 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24671 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24672 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24673 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24674 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24675 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24676 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24677 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24678 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24679 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24680 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24681 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24682 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24683 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24684 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24685 #INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24686 #INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24687 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24688 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24689 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24690 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24691 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24692 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24693 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24694 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24695 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24696 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24697 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24698 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24699 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24700 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24701 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24702 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24703 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24704 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24705 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24706 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24707 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24708 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24709 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24710 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24711 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24712 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24713 
24714 INST "decoder_inst/compExch_Layer_10_to_11_sites_35_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_35_51";
24715 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_35_51" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24716 
24717 
24718 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/M*" U_SET="uset_compExch_Layer_10_to_11_sites_36_52";
24719 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24720 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24721 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24722 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24723 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24724 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24725 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24726 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24727 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24728 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24729 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24730 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24731 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24732 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24733 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24734 #INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24735 #INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24736 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24737 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24738 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24739 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24740 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24741 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24742 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24743 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24744 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24745 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24746 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24747 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24748 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24749 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24750 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24751 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24752 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24753 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24754 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24755 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24756 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24757 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24758 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24759 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24760 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24761 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24762 #INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24763 #INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24764 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24765 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24766 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24767 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24768 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24769 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24770 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24771 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24772 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24773 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24774 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24775 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24776 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24777 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24778 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24779 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24780 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24781 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24782 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24783 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24784 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24785 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24786 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24787 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24788 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24789 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24790 
24791 INST "decoder_inst/compExch_Layer_10_to_11_sites_36_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_36_52";
24792 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_36_52" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24793 
24794 
24795 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/M*" U_SET="uset_compExch_Layer_10_to_11_sites_37_53";
24796 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24797 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24798 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24799 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24800 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24801 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24802 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24803 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24804 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24805 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24806 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24807 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24808 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24809 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24810 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24811 #INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24812 #INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24813 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24814 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24815 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24816 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24817 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24818 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24819 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24820 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24821 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24822 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24823 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24824 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24825 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24826 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24827 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24828 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24829 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24830 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24831 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24832 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24833 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24834 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24835 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24836 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24837 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24838 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24839 #INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24840 #INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24841 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24842 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24843 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24844 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24845 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24846 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24847 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24848 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24849 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24850 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24851 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24852 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24853 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24854 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24855 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24856 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24857 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24858 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24859 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24860 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24861 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24862 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24863 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24864 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24865 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24866 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24867 
24868 INST "decoder_inst/compExch_Layer_10_to_11_sites_37_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_37_53";
24869 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_37_53" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24870 
24871 
24872 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/M*" U_SET="uset_compExch_Layer_10_to_11_sites_38_54";
24873 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24874 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24875 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24876 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24877 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24878 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24879 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24880 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24881 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24882 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24883 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24884 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24885 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24886 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24887 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24888 #INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24889 #INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24890 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24891 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24892 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24893 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24894 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24895 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24896 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24897 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24898 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24899 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24900 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24901 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24902 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24903 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24904 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24905 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24906 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24907 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24908 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24909 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24910 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24911 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24912 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24913 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24914 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24915 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24916 #INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24917 #INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24918 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24919 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24920 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24921 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24922 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
24923 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
24924 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
24925 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
24926 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
24927 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
24928 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
24929 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
24930 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
24931 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
24932 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
24933 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
24934 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
24935 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
24936 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
24937 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
24938 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
24939 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
24940 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
24941 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
24942 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
24943 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
24944 
24945 INST "decoder_inst/compExch_Layer_10_to_11_sites_38_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_38_54";
24946 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_38_54" RANGE=SLICE_X180Y2:SLICE_X189Y233;
24947 
24948 
24949 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/M*" U_SET="uset_compExch_Layer_10_to_11_sites_39_55";
24950 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
24951 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
24952 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
24953 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
24954 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
24955 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
24956 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
24957 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
24958 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
24959 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
24960 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
24961 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
24962 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
24963 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
24964 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
24965 #INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
24966 #INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
24967 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
24968 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
24969 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
24970 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
24971 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
24972 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
24973 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
24974 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
24975 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
24976 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
24977 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
24978 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
24979 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
24980 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
24981 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
24982 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
24983 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
24984 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
24985 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
24986 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
24987 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
24988 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
24989 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
24990 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
24991 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
24992 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
24993 #INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
24994 #INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
24995 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
24996 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
24997 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
24998 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
24999 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25000 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25001 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25002 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25003 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25004 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25005 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25006 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25007 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25008 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25009 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25010 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25011 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25012 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25013 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25014 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25015 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25016 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25017 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25018 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25019 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25020 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25021 
25022 INST "decoder_inst/compExch_Layer_10_to_11_sites_39_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_39_55";
25023 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_39_55" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25024 
25025 
25026 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/M*" U_SET="uset_compExch_Layer_10_to_11_sites_40_56";
25027 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25028 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25029 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25030 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25031 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25032 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25033 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25034 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25035 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25036 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25037 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25038 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25039 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25040 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25041 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25042 #INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25043 #INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25044 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25045 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25046 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25047 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25048 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25049 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25050 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25051 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25052 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25053 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25054 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25055 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25056 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25057 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25058 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25059 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25060 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25061 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25062 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25063 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25064 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25065 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25066 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25067 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25068 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25069 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25070 #INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25071 #INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25072 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25073 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25074 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25075 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25076 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25077 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25078 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25079 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25080 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25081 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25082 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25083 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25084 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25085 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25086 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25087 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25088 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25089 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25090 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25091 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25092 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25093 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25094 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25095 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25096 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25097 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25098 
25099 INST "decoder_inst/compExch_Layer_10_to_11_sites_40_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_40_56";
25100 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_40_56" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25101 
25102 
25103 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/M*" U_SET="uset_compExch_Layer_10_to_11_sites_41_57";
25104 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25105 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25106 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25107 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25108 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25109 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25110 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25111 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25112 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25113 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25114 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25115 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25116 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25117 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25118 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25119 #INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25120 #INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25121 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25122 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25123 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25124 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25125 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25126 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25127 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25128 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25129 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25130 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25131 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25132 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25133 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25134 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25135 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25136 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25137 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25138 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25139 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25140 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25141 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25142 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25143 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25144 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25145 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25146 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25147 #INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25148 #INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25149 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25150 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25151 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25152 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25153 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25154 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25155 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25156 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25157 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25158 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25159 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25160 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25161 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25162 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25163 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25164 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25165 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25166 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25167 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25168 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25169 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25170 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25171 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25172 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25173 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25174 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25175 
25176 INST "decoder_inst/compExch_Layer_10_to_11_sites_41_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_41_57";
25177 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_41_57" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25178 
25179 
25180 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/M*" U_SET="uset_compExch_Layer_10_to_11_sites_42_58";
25181 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25182 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25183 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25184 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25185 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25186 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25187 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25188 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25189 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25190 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25191 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25192 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25193 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25194 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25195 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25196 #INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25197 #INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25198 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25199 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25200 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25201 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25202 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25203 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25204 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25205 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25206 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25207 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25208 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25209 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25210 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25211 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25212 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25213 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25214 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25215 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25216 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25217 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25218 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25219 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25220 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25221 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25222 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25223 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25224 #INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25225 #INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25226 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25227 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25228 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25229 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25230 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25231 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25232 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25233 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25234 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25235 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25236 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25237 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25238 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25239 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25240 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25241 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25242 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25243 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25244 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25245 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25246 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25247 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25248 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25249 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25250 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25251 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25252 
25253 INST "decoder_inst/compExch_Layer_10_to_11_sites_42_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_42_58";
25254 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_42_58" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25255 
25256 
25257 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/M*" U_SET="uset_compExch_Layer_10_to_11_sites_43_59";
25258 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25259 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25260 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25261 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25262 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25263 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25264 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25265 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25266 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25267 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25268 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25269 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25270 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25271 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25272 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25273 #INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25274 #INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25275 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25276 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25277 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25278 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25279 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25280 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25281 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25282 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25283 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25284 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25285 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25286 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25287 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25288 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25289 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25290 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25291 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25292 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25293 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25294 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25295 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25296 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25297 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25298 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25299 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25300 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25301 #INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25302 #INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25303 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25304 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25305 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25306 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25307 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25308 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25309 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25310 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25311 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25312 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25313 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25314 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25315 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25316 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25317 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25318 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25319 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25320 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25321 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25322 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25323 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25324 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25325 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25326 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25327 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25328 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25329 
25330 INST "decoder_inst/compExch_Layer_10_to_11_sites_43_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_43_59";
25331 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_43_59" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25332 
25333 
25334 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/M*" U_SET="uset_compExch_Layer_10_to_11_sites_44_60";
25335 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25336 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25337 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25338 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25339 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25340 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25341 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25342 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25343 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25344 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25345 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25346 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25347 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25348 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25349 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25350 #INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25351 #INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25352 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25353 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25354 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25355 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25356 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25357 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25358 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25359 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25360 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25361 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25362 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25363 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25364 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25365 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25366 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25367 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25368 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25369 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25370 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25371 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25372 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25373 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25374 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25375 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25376 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25377 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25378 #INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25379 #INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25380 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25381 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25382 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25383 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25384 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25385 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25386 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25387 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25388 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25389 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25390 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25391 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25392 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25393 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25394 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25395 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25396 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25397 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25398 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25399 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25400 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25401 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25402 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25403 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25404 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25405 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25406 
25407 INST "decoder_inst/compExch_Layer_10_to_11_sites_44_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_44_60";
25408 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_44_60" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25409 
25410 
25411 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/M*" U_SET="uset_compExch_Layer_10_to_11_sites_45_61";
25412 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25413 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25414 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25415 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25416 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25417 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25418 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25419 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25420 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25421 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25422 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25423 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25424 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25425 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25426 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25427 #INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25428 #INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25429 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25430 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25431 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25432 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25433 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25434 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25435 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25436 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25437 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25438 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25439 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25440 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25441 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25442 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25443 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25444 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25445 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25446 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25447 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25448 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25449 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25450 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25451 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25452 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25453 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25454 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25455 #INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25456 #INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25457 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25458 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25459 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25460 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25461 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25462 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25463 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25464 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25465 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25466 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25467 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25468 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25469 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25470 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25471 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25472 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25473 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25474 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25475 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25476 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25477 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25478 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25479 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25480 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25481 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25482 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25483 
25484 INST "decoder_inst/compExch_Layer_10_to_11_sites_45_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_45_61";
25485 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_45_61" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25486 
25487 
25488 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/M*" U_SET="uset_compExch_Layer_10_to_11_sites_46_62";
25489 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25490 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25491 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25492 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25493 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25494 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25495 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25496 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25497 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25498 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25499 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25500 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25501 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25502 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25503 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25504 #INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25505 #INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25506 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25507 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25508 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25509 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25510 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25511 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25512 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25513 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25514 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25515 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25516 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25517 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25518 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25519 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25520 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25521 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25522 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25523 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25524 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25525 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25526 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25527 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25528 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25529 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25530 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25531 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25532 #INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25533 #INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25534 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25535 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25536 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25537 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25538 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25539 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25540 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25541 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25542 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25543 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25544 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25545 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25546 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25547 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25548 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25549 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25550 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25551 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25552 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25553 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25554 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25555 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25556 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25557 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25558 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25559 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25560 
25561 INST "decoder_inst/compExch_Layer_10_to_11_sites_46_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_46_62";
25562 AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_46_62" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25563 
25564 
25565 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/M*" U_SET="uset_compExch_Layer_10_to_11_sites_47_63";
25566 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25567 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25568 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25569 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25570 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25571 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25572 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25573 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25574 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25575 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25576 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25577 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25578 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25579 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25580 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25581 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25582 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25583 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25584 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25585 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25586 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25587 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25588 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25589 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25590 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25591 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25592 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25593 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25594 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25595 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25596 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25597 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25598 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25599 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25600 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25601 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25602 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25603 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25604 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25605 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25606 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25607 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25608 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25609 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25610 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25611 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25612 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25613 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25614 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25615 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25616 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25617 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25618 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25619 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25620 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25621 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25622 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25623 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25624 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25625 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25626 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25627 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25628 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25629 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25630 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25631 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25632 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25633 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25634 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25635 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25636 INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25637 
25638 #INST "decoder_inst/compExch_Layer_10_to_11_sites_47_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_10_to_11_sites_47_63";
25639 #AREA_GROUP "AREA_compExch_Layer_10_to_11_sites_47_63" RANGE=SLICE_X180Y2:SLICE_X189Y233;
25640 
25641 
25642 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/M*" U_SET="uset_compExch_Layer_11_to_12_sites_40_48";
25643 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25644 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25645 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25646 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25647 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25648 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25649 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25650 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25651 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25652 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25653 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25654 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25655 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25656 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25657 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25658 #INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25659 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25660 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25661 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25662 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25663 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25664 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25665 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25666 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25667 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25668 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25669 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25670 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25671 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25672 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25673 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25674 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25675 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25676 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25677 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25678 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25679 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25680 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25681 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25682 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25683 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25684 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25685 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25686 #INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25687 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25688 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25689 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25690 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25691 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25692 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25693 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25694 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25695 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25696 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25697 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25698 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25699 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25700 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25701 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25702 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25703 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25704 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25705 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25706 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25707 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25708 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25709 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25710 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25711 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25712 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25713 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25714 
25715 INST "decoder_inst/compExch_Layer_11_to_12_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_40_48";
25716 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_40_48" RANGE=SLICE_X184Y2:SLICE_X193Y233;
25717 
25718 
25719 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/M*" U_SET="uset_compExch_Layer_11_to_12_sites_41_49";
25720 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25721 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25722 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25723 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25724 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25725 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25726 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25727 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25728 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25729 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25730 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25731 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25732 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25733 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25734 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25735 #INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25736 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25737 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25738 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25739 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25740 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25741 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25742 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25743 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25744 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25745 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25746 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25747 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25748 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25749 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25750 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25751 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25752 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25753 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25754 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25755 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25756 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25757 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25758 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25759 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25760 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25761 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25762 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25763 #INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25764 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25765 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25766 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25767 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25768 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25769 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25770 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25771 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25772 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25773 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25774 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25775 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25776 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25777 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25778 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25779 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25780 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25781 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25782 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25783 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25784 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25785 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25786 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25787 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25788 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25789 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25790 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25791 
25792 INST "decoder_inst/compExch_Layer_11_to_12_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_41_49";
25793 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_41_49" RANGE=SLICE_X184Y2:SLICE_X193Y233;
25794 
25795 
25796 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/M*" U_SET="uset_compExch_Layer_11_to_12_sites_42_50";
25797 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25798 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25799 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25800 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25801 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25802 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25803 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25804 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25805 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25806 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25807 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25808 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25809 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25810 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25811 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25812 #INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25813 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25814 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25815 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25816 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25817 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25818 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25819 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25820 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25821 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25822 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25823 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25824 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25825 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25826 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25827 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25828 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25829 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25830 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25831 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25832 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25833 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25834 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25835 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25836 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25837 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25838 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25839 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25840 #INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25841 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25842 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25843 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25844 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25845 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25846 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25847 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25848 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25849 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25850 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25851 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25852 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25853 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25854 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25855 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25856 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25857 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25858 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25859 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25860 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25861 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25862 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25863 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25864 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25865 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25866 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25867 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25868 
25869 INST "decoder_inst/compExch_Layer_11_to_12_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_42_50";
25870 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_42_50" RANGE=SLICE_X184Y2:SLICE_X193Y233;
25871 
25872 
25873 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/M*" U_SET="uset_compExch_Layer_11_to_12_sites_43_51";
25874 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25875 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25876 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25877 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25878 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25879 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25880 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25881 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25882 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25883 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25884 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25885 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25886 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25887 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25888 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25889 #INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25890 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25891 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25892 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25893 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25894 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25895 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25896 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25897 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25898 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25899 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25900 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25901 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25902 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25903 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25904 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25905 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25906 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25907 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25908 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25909 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25910 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25911 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25912 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25913 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25914 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25915 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25916 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25917 #INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25918 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25919 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25920 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25921 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25922 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
25923 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
25924 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
25925 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
25926 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
25927 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
25928 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
25929 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
25930 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
25931 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
25932 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
25933 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
25934 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
25935 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
25936 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
25937 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
25938 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
25939 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
25940 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
25941 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
25942 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
25943 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
25944 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
25945 
25946 INST "decoder_inst/compExch_Layer_11_to_12_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_43_51";
25947 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_43_51" RANGE=SLICE_X184Y2:SLICE_X193Y233;
25948 
25949 
25950 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/M*" U_SET="uset_compExch_Layer_11_to_12_sites_44_52";
25951 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
25952 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
25953 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
25954 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
25955 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
25956 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
25957 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
25958 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
25959 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
25960 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
25961 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
25962 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
25963 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
25964 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
25965 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
25966 #INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
25967 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
25968 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
25969 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
25970 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
25971 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
25972 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
25973 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
25974 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
25975 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
25976 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
25977 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
25978 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
25979 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
25980 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
25981 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
25982 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
25983 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
25984 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
25985 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
25986 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
25987 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
25988 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
25989 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
25990 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
25991 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
25992 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
25993 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
25994 #INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
25995 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
25996 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
25997 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
25998 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
25999 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26000 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26001 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26002 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26003 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26004 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26005 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26006 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26007 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26008 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26009 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26010 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26011 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26012 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26013 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26014 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26015 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26016 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26017 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26018 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26019 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26020 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26021 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26022 
26023 INST "decoder_inst/compExch_Layer_11_to_12_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_44_52";
26024 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_44_52" RANGE=SLICE_X184Y2:SLICE_X193Y233;
26025 
26026 
26027 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/M*" U_SET="uset_compExch_Layer_11_to_12_sites_45_53";
26028 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26029 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26030 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26031 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26032 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26033 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26034 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26035 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26036 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26037 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26038 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26039 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26040 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26041 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26042 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26043 #INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26044 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26045 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26046 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26047 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26048 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26049 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26050 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26051 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26052 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26053 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26054 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26055 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26056 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26057 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26058 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26059 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26060 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26061 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26062 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26063 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26064 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26065 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26066 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26067 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26068 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26069 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26070 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26071 #INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26072 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26073 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26074 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26075 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26076 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26077 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26078 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26079 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26080 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26081 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26082 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26083 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26084 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26085 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26086 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26087 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26088 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26089 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26090 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26091 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26092 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26093 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26094 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26095 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26096 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26097 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26098 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26099 
26100 INST "decoder_inst/compExch_Layer_11_to_12_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_45_53";
26101 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_45_53" RANGE=SLICE_X184Y2:SLICE_X193Y233;
26102 
26103 
26104 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/M*" U_SET="uset_compExch_Layer_11_to_12_sites_46_54";
26105 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26106 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26107 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26108 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26109 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26110 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26111 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26112 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26113 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26114 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26115 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26116 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26117 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26118 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26119 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26120 #INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26121 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26122 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26123 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26124 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26125 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26126 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26127 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26128 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26129 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26130 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26131 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26132 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26133 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26134 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26135 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26136 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26137 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26138 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26139 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26140 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26141 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26142 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26143 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26144 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26145 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26146 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26147 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26148 #INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26149 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26150 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26151 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26152 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26153 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26154 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26155 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26156 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26157 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26158 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26159 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26160 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26161 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26162 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26163 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26164 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26165 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26166 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26167 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26168 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26169 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26170 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26171 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26172 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26173 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26174 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26175 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26176 
26177 INST "decoder_inst/compExch_Layer_11_to_12_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_46_54";
26178 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_46_54" RANGE=SLICE_X184Y2:SLICE_X193Y233;
26179 
26180 
26181 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/M*" U_SET="uset_compExch_Layer_11_to_12_sites_47_55";
26182 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26183 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26184 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26185 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26186 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26187 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26188 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26189 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26190 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26191 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26192 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26193 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26194 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26195 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26196 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26197 #INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26198 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26199 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26200 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26201 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26202 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26203 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26204 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26205 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26206 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26207 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26208 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26209 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26210 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26211 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26212 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26213 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26214 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26215 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26216 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26217 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26218 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26219 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26220 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26221 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26222 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26223 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26224 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26225 #INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26226 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26227 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26228 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26229 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26230 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26231 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26232 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26233 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26234 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26235 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26236 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26237 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26238 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26239 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26240 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26241 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26242 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26243 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26244 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26245 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26246 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26247 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26248 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26249 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26250 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26251 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26252 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26253 
26254 INST "decoder_inst/compExch_Layer_11_to_12_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_11_to_12_sites_47_55";
26255 AREA_GROUP "AREA_compExch_Layer_11_to_12_sites_47_55" RANGE=SLICE_X184Y2:SLICE_X193Y233;
26256 
26257 
26258 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/M*" U_SET="uset_compExch_Layer_12_to_13_sites_36_40";
26259 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26260 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26261 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26262 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26263 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26264 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26265 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26266 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26267 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26268 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26269 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26270 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26271 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26272 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26273 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26274 #INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26275 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26276 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26277 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26278 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26279 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26280 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26281 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26282 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26283 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26284 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26285 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26286 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26287 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26288 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26289 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26290 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26291 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26292 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26293 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26294 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26295 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26296 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26297 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26298 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26299 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26300 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26301 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26302 #INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26303 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26304 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26305 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26306 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26307 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26308 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26309 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26310 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26311 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26312 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26313 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26314 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26315 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26316 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26317 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26318 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26319 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26320 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26321 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26322 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26323 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26324 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26325 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26326 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26327 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26328 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26329 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26330 
26331 INST "decoder_inst/compExch_Layer_12_to_13_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_36_40";
26332 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_36_40" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26333 
26334 
26335 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/M*" U_SET="uset_compExch_Layer_12_to_13_sites_37_41";
26336 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26337 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26338 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26339 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26340 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26341 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26342 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26343 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26344 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26345 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26346 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26347 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26348 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26349 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26350 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26351 #INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26352 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26353 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26354 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26355 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26356 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26357 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26358 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26359 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26360 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26361 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26362 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26363 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26364 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26365 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26366 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26367 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26368 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26369 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26370 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26371 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26372 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26373 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26374 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26375 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26376 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26377 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26378 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26379 #INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26380 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26381 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26382 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26383 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26384 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26385 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26386 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26387 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26388 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26389 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26390 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26391 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26392 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26393 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26394 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26395 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26396 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26397 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26398 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26399 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26400 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26401 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26402 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26403 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26404 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26405 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26406 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26407 
26408 INST "decoder_inst/compExch_Layer_12_to_13_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_37_41";
26409 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_37_41" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26410 
26411 
26412 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/M*" U_SET="uset_compExch_Layer_12_to_13_sites_38_42";
26413 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26414 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26415 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26416 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26417 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26418 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26419 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26420 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26421 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26422 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26423 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26424 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26425 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26426 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26427 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26428 #INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26429 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26430 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26431 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26432 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26433 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26434 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26435 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26436 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26437 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26438 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26439 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26440 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26441 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26442 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26443 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26444 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26445 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26446 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26447 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26448 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26449 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26450 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26451 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26452 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26453 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26454 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26455 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26456 #INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26457 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26458 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26459 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26460 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26461 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26462 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26463 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26464 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26465 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26466 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26467 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26468 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26469 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26470 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26471 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26472 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26473 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26474 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26475 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26476 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26477 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26478 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26479 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26480 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26481 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26482 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26483 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26484 
26485 INST "decoder_inst/compExch_Layer_12_to_13_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_38_42";
26486 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_38_42" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26487 
26488 
26489 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/M*" U_SET="uset_compExch_Layer_12_to_13_sites_39_43";
26490 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26491 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26492 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26493 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26494 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26495 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26496 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26497 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26498 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26499 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26500 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26501 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26502 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26503 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26504 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26505 #INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26506 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26507 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26508 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26509 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26510 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26511 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26512 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26513 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26514 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26515 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26516 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26517 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26518 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26519 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26520 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26521 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26522 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26523 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26524 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26525 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26526 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26527 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26528 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26529 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26530 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26531 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26532 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26533 #INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26534 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26535 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26536 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26537 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26538 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26539 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26540 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26541 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26542 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26543 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26544 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26545 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26546 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26547 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26548 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26549 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26550 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26551 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26552 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26553 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26554 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26555 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26556 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26557 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26558 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26559 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26560 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26561 
26562 INST "decoder_inst/compExch_Layer_12_to_13_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_39_43";
26563 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_39_43" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26564 
26565 
26566 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/M*" U_SET="uset_compExch_Layer_12_to_13_sites_44_48";
26567 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26568 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26569 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26570 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26571 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26572 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26573 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26574 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26575 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26576 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26577 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26578 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26579 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26580 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26581 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26582 #INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26583 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26584 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26585 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26586 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26587 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26588 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26589 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26590 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26591 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26592 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26593 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26594 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26595 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26596 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26597 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26598 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26599 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26600 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26601 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26602 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26603 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26604 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26605 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26606 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26607 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26608 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26609 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26610 #INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26611 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26612 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26613 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26614 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26615 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26616 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26617 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26618 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26619 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26620 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26621 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26622 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26623 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26624 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26625 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26626 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26627 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26628 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26629 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26630 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26631 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26632 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26633 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26634 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26635 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26636 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26637 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26638 
26639 INST "decoder_inst/compExch_Layer_12_to_13_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_44_48";
26640 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_44_48" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26641 
26642 
26643 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/M*" U_SET="uset_compExch_Layer_12_to_13_sites_45_49";
26644 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26645 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26646 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26647 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26648 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26649 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26650 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26651 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26652 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26653 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26654 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26655 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26656 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26657 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26658 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26659 #INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26660 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26661 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26662 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26663 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26664 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26665 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26666 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26667 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26668 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26669 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26670 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26671 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26672 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26673 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26674 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26675 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26676 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26677 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26678 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26679 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26680 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26681 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26682 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26683 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26684 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26685 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26686 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26687 #INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26688 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26689 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26690 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26691 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26692 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26693 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26694 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26695 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26696 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26697 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26698 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26699 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26700 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26701 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26702 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26703 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26704 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26705 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26706 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26707 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26708 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26709 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26710 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26711 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26712 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26713 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26714 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26715 
26716 INST "decoder_inst/compExch_Layer_12_to_13_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_45_49";
26717 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_45_49" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26718 
26719 
26720 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/M*" U_SET="uset_compExch_Layer_12_to_13_sites_46_50";
26721 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26722 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26723 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26724 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26725 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26726 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26727 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26728 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26729 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26730 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26731 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26732 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26733 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26734 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26735 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26736 #INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26737 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26738 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26739 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26740 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26741 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26742 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26743 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26744 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26745 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26746 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26747 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26748 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26749 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26750 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26751 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26752 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26753 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26754 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26755 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26756 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26757 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26758 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26759 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26760 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26761 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26762 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26763 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26764 #INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26765 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26766 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26767 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26768 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26769 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26770 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26771 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26772 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26773 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26774 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26775 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26776 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26777 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26778 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26779 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26780 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26781 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26782 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26783 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26784 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26785 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26786 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26787 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26788 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26789 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26790 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26791 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26792 
26793 INST "decoder_inst/compExch_Layer_12_to_13_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_46_50";
26794 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_46_50" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26795 
26796 
26797 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/M*" U_SET="uset_compExch_Layer_12_to_13_sites_47_51";
26798 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26799 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26800 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26801 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26802 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26803 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26804 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26805 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26806 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26807 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26808 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26809 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26810 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26811 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26812 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26813 #INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26814 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26815 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26816 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26817 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26818 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26819 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26820 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26821 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26822 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26823 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26824 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26825 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26826 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26827 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26828 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26829 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26830 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26831 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26832 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26833 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26834 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26835 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26836 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26837 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26838 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26839 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26840 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26841 #INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26842 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26843 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26844 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26845 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26846 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26847 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26848 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26849 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26850 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26851 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26852 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26853 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26854 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26855 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26856 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26857 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26858 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26859 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26860 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26861 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26862 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26863 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26864 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26865 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26866 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26867 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26868 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26869 
26870 INST "decoder_inst/compExch_Layer_12_to_13_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_47_51";
26871 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_47_51" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26872 
26873 
26874 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/M*" U_SET="uset_compExch_Layer_12_to_13_sites_52_56";
26875 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26876 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26877 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26878 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26879 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26880 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26881 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26882 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26883 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26884 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26885 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26886 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26887 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26888 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26889 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26890 #INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26891 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26892 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26893 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26894 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26895 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26896 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26897 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26898 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26899 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26900 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26901 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26902 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26903 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26904 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26905 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26906 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26907 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26908 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26909 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26910 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26911 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26912 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26913 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26914 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26915 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26916 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26917 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26918 #INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26919 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26920 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26921 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26922 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
26923 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
26924 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
26925 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
26926 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
26927 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
26928 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
26929 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
26930 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
26931 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
26932 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
26933 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
26934 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
26935 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
26936 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
26937 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
26938 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
26939 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
26940 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
26941 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
26942 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
26943 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
26944 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
26945 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
26946 
26947 INST "decoder_inst/compExch_Layer_12_to_13_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_52_56";
26948 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_52_56" RANGE=SLICE_X188Y2:SLICE_X197Y233;
26949 
26950 
26951 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/M*" U_SET="uset_compExch_Layer_12_to_13_sites_53_57";
26952 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
26953 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
26954 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
26955 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
26956 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
26957 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
26958 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
26959 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
26960 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
26961 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
26962 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
26963 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
26964 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
26965 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
26966 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
26967 #INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
26968 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
26969 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
26970 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
26971 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
26972 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
26973 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
26974 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
26975 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
26976 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
26977 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
26978 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
26979 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
26980 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
26981 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
26982 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
26983 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
26984 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
26985 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
26986 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
26987 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
26988 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
26989 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
26990 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
26991 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
26992 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
26993 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
26994 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
26995 #INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
26996 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
26997 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
26998 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
26999 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27000 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27001 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27002 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27003 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27004 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27005 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27006 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27007 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27008 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27009 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27010 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27011 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27012 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27013 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27014 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27015 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27016 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27017 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27018 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27019 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27020 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27021 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27022 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27023 
27024 INST "decoder_inst/compExch_Layer_12_to_13_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_53_57";
27025 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_53_57" RANGE=SLICE_X188Y2:SLICE_X197Y233;
27026 
27027 
27028 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/M*" U_SET="uset_compExch_Layer_12_to_13_sites_54_58";
27029 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27030 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27031 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27032 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27033 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27034 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27035 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27036 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27037 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27038 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27039 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27040 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27041 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27042 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27043 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27044 #INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27045 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27046 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27047 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27048 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27049 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27050 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27051 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27052 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27053 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27054 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27055 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27056 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27057 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27058 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27059 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27060 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27061 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27062 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27063 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27064 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27065 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27066 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27067 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27068 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27069 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27070 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27071 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27072 #INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27073 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27074 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27075 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27076 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27077 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27078 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27079 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27080 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27081 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27082 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27083 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27084 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27085 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27086 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27087 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27088 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27089 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27090 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27091 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27092 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27093 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27094 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27095 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27096 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27097 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27098 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27099 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27100 
27101 INST "decoder_inst/compExch_Layer_12_to_13_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_54_58";
27102 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_54_58" RANGE=SLICE_X188Y2:SLICE_X197Y233;
27103 
27104 
27105 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/M*" U_SET="uset_compExch_Layer_12_to_13_sites_55_59";
27106 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27107 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27108 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27109 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27110 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27111 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27112 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27113 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27114 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27115 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27116 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27117 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27118 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27119 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27120 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27121 #INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27122 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27123 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27124 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27125 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27126 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27127 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27128 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27129 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27130 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27131 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27132 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27133 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27134 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27135 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27136 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27137 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27138 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27139 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27140 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27141 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27142 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27143 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27144 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27145 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27146 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27147 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27148 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27149 #INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27150 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27151 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27152 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27153 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27154 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27155 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27156 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27157 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27158 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27159 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27160 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27161 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27162 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27163 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27164 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27165 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27166 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27167 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27168 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27169 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27170 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27171 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27172 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27173 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27174 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27175 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27176 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27177 
27178 INST "decoder_inst/compExch_Layer_12_to_13_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_12_to_13_sites_55_59";
27179 AREA_GROUP "AREA_compExch_Layer_12_to_13_sites_55_59" RANGE=SLICE_X188Y2:SLICE_X197Y233;
27180 
27181 
27182 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/M*" U_SET="uset_compExch_Layer_13_to_14_sites_34_36";
27183 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27184 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27185 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27186 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27187 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27188 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27189 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27190 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27191 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27192 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27193 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27194 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27195 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27196 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27197 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27198 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27199 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27200 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27201 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27202 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27203 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27204 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27205 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27206 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27207 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27208 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27209 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27210 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27211 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27212 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27213 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27214 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27215 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27216 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27217 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27218 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27219 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27220 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27221 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27222 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27223 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27224 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27225 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27226 #INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27227 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27228 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27229 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27230 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27231 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27232 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27233 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27234 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27235 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27236 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27237 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27238 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27239 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27240 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27241 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27242 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27243 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27244 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27245 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27246 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27247 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27248 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27249 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27250 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27251 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27252 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27253 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27254 
27255 INST "decoder_inst/compExch_Layer_13_to_14_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_34_36";
27256 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_34_36" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27257 
27258 
27259 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/M*" U_SET="uset_compExch_Layer_13_to_14_sites_35_37";
27260 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27261 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27262 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27263 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27264 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27265 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27266 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27267 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27268 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27269 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27270 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27271 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27272 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27273 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27274 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27275 #INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27276 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27277 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27278 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27279 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27280 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27281 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27282 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27283 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27284 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27285 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27286 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27287 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27288 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27289 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27290 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27291 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27292 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27293 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27294 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27295 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27296 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27297 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27298 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27299 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27300 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27301 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27302 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27303 #INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27304 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27305 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27306 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27307 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27308 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27309 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27310 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27311 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27312 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27313 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27314 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27315 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27316 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27317 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27318 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27319 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27320 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27321 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27322 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27323 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27324 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27325 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27326 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27327 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27328 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27329 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27330 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27331 
27332 INST "decoder_inst/compExch_Layer_13_to_14_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_35_37";
27333 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_35_37" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27334 
27335 
27336 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/M*" U_SET="uset_compExch_Layer_13_to_14_sites_38_40";
27337 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27338 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27339 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27340 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27341 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27342 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27343 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27344 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27345 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27346 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27347 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27348 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27349 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27350 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27351 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27352 #INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27353 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27354 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27355 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27356 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27357 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27358 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27359 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27360 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27361 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27362 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27363 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27364 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27365 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27366 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27367 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27368 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27369 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27370 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27371 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27372 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27373 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27374 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27375 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27376 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27377 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27378 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27379 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27380 #INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27381 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27382 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27383 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27384 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27385 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27386 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27387 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27388 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27389 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27390 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27391 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27392 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27393 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27394 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27395 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27396 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27397 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27398 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27399 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27400 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27401 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27402 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27403 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27404 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27405 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27406 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27407 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27408 
27409 INST "decoder_inst/compExch_Layer_13_to_14_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_38_40";
27410 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_38_40" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27411 
27412 
27413 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/M*" U_SET="uset_compExch_Layer_13_to_14_sites_39_41";
27414 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27415 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27416 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27417 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27418 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27419 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27420 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27421 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27422 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27423 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27424 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27425 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27426 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27427 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27428 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27429 #INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27430 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27431 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27432 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27433 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27434 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27435 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27436 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27437 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27438 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27439 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27440 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27441 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27442 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27443 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27444 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27445 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27446 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27447 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27448 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27449 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27450 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27451 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27452 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27453 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27454 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27455 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27456 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27457 #INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27458 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27459 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27460 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27461 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27462 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27463 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27464 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27465 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27466 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27467 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27468 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27469 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27470 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27471 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27472 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27473 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27474 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27475 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27476 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27477 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27478 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27479 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27480 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27481 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27482 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27483 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27484 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27485 
27486 INST "decoder_inst/compExch_Layer_13_to_14_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_39_41";
27487 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_39_41" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27488 
27489 
27490 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/M*" U_SET="uset_compExch_Layer_13_to_14_sites_42_44";
27491 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27492 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27493 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27494 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27495 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27496 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27497 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27498 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27499 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27500 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27501 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27502 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27503 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27504 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27505 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27506 #INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27507 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27508 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27509 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27510 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27511 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27512 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27513 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27514 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27515 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27516 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27517 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27518 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27519 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27520 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27521 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27522 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27523 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27524 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27525 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27526 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27527 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27528 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27529 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27530 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27531 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27532 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27533 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27534 #INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27535 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27536 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27537 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27538 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27539 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27540 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27541 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27542 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27543 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27544 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27545 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27546 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27547 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27548 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27549 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27550 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27551 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27552 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27553 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27554 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27555 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27556 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27557 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27558 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27559 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27560 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27561 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27562 
27563 INST "decoder_inst/compExch_Layer_13_to_14_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_42_44";
27564 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_42_44" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27565 
27566 
27567 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/M*" U_SET="uset_compExch_Layer_13_to_14_sites_43_45";
27568 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27569 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27570 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27571 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27572 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27573 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27574 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27575 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27576 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27577 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27578 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27579 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27580 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27581 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27582 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27583 #INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27584 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27585 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27586 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27587 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27588 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27589 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27590 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27591 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27592 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27593 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27594 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27595 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27596 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27597 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27598 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27599 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27600 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27601 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27602 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27603 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27604 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27605 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27606 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27607 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27608 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27609 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27610 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27611 #INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27612 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27613 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27614 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27615 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27616 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27617 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27618 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27619 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27620 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27621 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27622 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27623 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27624 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27625 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27626 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27627 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27628 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27629 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27630 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27631 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27632 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27633 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27634 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27635 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27636 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27637 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27638 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27639 
27640 INST "decoder_inst/compExch_Layer_13_to_14_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_43_45";
27641 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_43_45" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27642 
27643 
27644 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/M*" U_SET="uset_compExch_Layer_13_to_14_sites_46_48";
27645 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27646 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27647 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27648 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27649 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27650 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27651 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27652 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27653 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27654 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27655 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27656 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27657 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27658 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27659 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27660 #INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27661 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27662 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27663 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27664 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27665 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27666 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27667 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27668 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27669 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27670 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27671 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27672 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27673 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27674 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27675 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27676 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27677 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27678 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27679 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27680 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27681 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27682 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27683 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27684 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27685 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27686 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27687 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27688 #INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27689 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27690 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27691 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27692 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27693 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27694 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27695 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27696 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27697 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27698 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27699 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27700 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27701 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27702 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27703 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27704 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27705 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27706 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27707 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27708 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27709 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27710 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27711 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27712 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27713 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27714 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27715 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27716 
27717 INST "decoder_inst/compExch_Layer_13_to_14_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_46_48";
27718 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_46_48" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27719 
27720 
27721 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/M*" U_SET="uset_compExch_Layer_13_to_14_sites_47_49";
27722 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27723 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27724 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27725 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27726 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27727 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27728 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27729 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27730 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27731 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27732 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27733 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27734 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27735 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27736 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27737 #INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27738 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27739 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27740 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27741 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27742 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27743 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27744 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27745 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27746 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27747 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27748 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27749 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27750 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27751 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27752 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27753 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27754 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27755 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27756 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27757 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27758 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27759 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27760 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27761 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27762 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27763 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27764 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27765 #INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27766 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27767 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27768 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27769 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27770 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27771 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27772 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27773 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27774 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27775 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27776 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27777 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27778 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27779 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27780 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27781 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27782 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27783 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27784 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27785 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27786 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27787 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27788 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27789 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27790 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27791 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27792 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27793 
27794 INST "decoder_inst/compExch_Layer_13_to_14_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_47_49";
27795 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_47_49" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27796 
27797 
27798 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/M*" U_SET="uset_compExch_Layer_13_to_14_sites_50_52";
27799 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27800 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27801 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27802 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27803 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27804 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27805 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27806 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27807 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27808 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27809 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27810 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27811 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27812 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27813 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27814 #INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27815 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27816 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27817 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27818 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27819 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27820 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27821 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27822 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27823 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27824 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27825 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27826 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27827 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27828 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27829 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27830 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27831 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27832 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27833 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27834 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27835 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27836 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27837 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27838 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27839 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27840 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27841 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27842 #INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27843 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27844 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27845 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27846 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27847 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27848 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27849 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27850 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27851 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27852 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27853 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27854 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27855 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27856 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27857 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27858 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27859 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27860 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27861 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27862 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27863 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27864 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27865 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27866 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27867 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27868 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27869 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27870 
27871 INST "decoder_inst/compExch_Layer_13_to_14_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_50_52";
27872 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_50_52" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27873 
27874 
27875 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/M*" U_SET="uset_compExch_Layer_13_to_14_sites_51_53";
27876 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27877 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27878 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27879 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27880 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27881 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27882 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27883 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27884 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27885 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27886 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27887 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27888 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27889 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27890 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27891 #INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27892 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27893 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27894 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27895 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27896 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27897 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27898 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27899 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27900 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27901 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27902 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27903 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27904 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27905 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27906 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27907 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27908 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27909 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27910 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27911 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27912 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27913 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27914 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27915 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27916 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27917 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27918 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27919 #INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27920 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27921 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27922 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
27923 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
27924 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
27925 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
27926 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
27927 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
27928 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
27929 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
27930 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
27931 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
27932 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
27933 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
27934 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
27935 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
27936 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
27937 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
27938 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
27939 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
27940 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
27941 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
27942 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
27943 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
27944 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
27945 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
27946 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
27947 
27948 INST "decoder_inst/compExch_Layer_13_to_14_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_51_53";
27949 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_51_53" RANGE=SLICE_X192Y2:SLICE_X201Y233;
27950 
27951 
27952 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/M*" U_SET="uset_compExch_Layer_13_to_14_sites_54_56";
27953 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
27954 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
27955 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
27956 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
27957 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
27958 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
27959 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
27960 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
27961 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
27962 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
27963 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
27964 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
27965 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
27966 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
27967 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
27968 #INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
27969 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
27970 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
27971 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
27972 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
27973 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
27974 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
27975 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
27976 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
27977 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
27978 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
27979 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
27980 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
27981 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
27982 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
27983 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
27984 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
27985 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
27986 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
27987 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
27988 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
27989 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
27990 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
27991 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
27992 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
27993 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
27994 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
27995 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
27996 #INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
27997 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
27998 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
27999 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28000 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28001 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28002 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28003 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28004 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28005 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28006 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28007 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28008 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28009 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28010 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28011 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28012 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28013 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28014 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28015 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28016 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28017 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28018 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28019 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28020 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28021 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28022 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28023 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28024 
28025 INST "decoder_inst/compExch_Layer_13_to_14_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_54_56";
28026 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_54_56" RANGE=SLICE_X192Y2:SLICE_X201Y233;
28027 
28028 
28029 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/M*" U_SET="uset_compExch_Layer_13_to_14_sites_55_57";
28030 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28031 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28032 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28033 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28034 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28035 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28036 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28037 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28038 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28039 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28040 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28041 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28042 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28043 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28044 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28045 #INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28046 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28047 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28048 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28049 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28050 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28051 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28052 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28053 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28054 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28055 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28056 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28057 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28058 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28059 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28060 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28061 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28062 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28063 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28064 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28065 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28066 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28067 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28068 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28069 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28070 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28071 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28072 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28073 #INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28074 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28075 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28076 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28077 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28078 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28079 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28080 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28081 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28082 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28083 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28084 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28085 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28086 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28087 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28088 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28089 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28090 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28091 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28092 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28093 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28094 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28095 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28096 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28097 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28098 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28099 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28100 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28101 
28102 INST "decoder_inst/compExch_Layer_13_to_14_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_55_57";
28103 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_55_57" RANGE=SLICE_X192Y2:SLICE_X201Y233;
28104 
28105 
28106 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/M*" U_SET="uset_compExch_Layer_13_to_14_sites_58_60";
28107 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28108 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28109 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28110 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28111 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28112 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28113 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28114 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28115 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28116 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28117 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28118 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28119 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28120 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28121 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28122 #INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28123 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28124 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28125 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28126 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28127 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28128 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28129 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28130 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28131 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28132 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28133 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28134 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28135 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28136 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28137 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28138 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28139 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28140 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28141 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28142 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28143 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28144 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28145 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28146 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28147 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28148 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28149 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28150 #INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28151 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28152 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28153 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28154 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28155 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28156 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28157 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28158 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28159 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28160 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28161 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28162 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28163 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28164 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28165 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28166 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28167 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28168 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28169 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28170 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28171 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28172 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28173 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28174 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28175 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28176 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28177 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28178 
28179 INST "decoder_inst/compExch_Layer_13_to_14_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_58_60";
28180 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_58_60" RANGE=SLICE_X192Y2:SLICE_X201Y233;
28181 
28182 
28183 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/M*" U_SET="uset_compExch_Layer_13_to_14_sites_59_61";
28184 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28185 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28186 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28187 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28188 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28189 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28190 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28191 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28192 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28193 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28194 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28195 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28196 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28197 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28198 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28199 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28200 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28201 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28202 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28203 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28204 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28205 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28206 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28207 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28208 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28209 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28210 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28211 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28212 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28213 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28214 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28215 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28216 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28217 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28218 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28219 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28220 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28221 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28222 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28223 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28224 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28225 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28226 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28227 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28228 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28229 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28230 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28231 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28232 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28233 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28234 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28235 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28236 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28237 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28238 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28239 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28240 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28241 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28242 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28243 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28244 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28245 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28246 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28247 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28248 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28249 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28250 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28251 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28252 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28253 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28254 #INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28255 
28256 INST "decoder_inst/compExch_Layer_13_to_14_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_13_to_14_sites_59_61";
28257 AREA_GROUP "AREA_compExch_Layer_13_to_14_sites_59_61" RANGE=SLICE_X192Y2:SLICE_X201Y233;
28258 
28259 
28260 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/M*" U_SET="uset_compExch_Layer_14_to_15_sites_33_34";
28261 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28262 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28263 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28264 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28265 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28266 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28267 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28268 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28269 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28270 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28271 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28272 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28273 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28274 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28275 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28276 #INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28277 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28278 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28279 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28280 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28281 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28282 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28283 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28284 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28285 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28286 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28287 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28288 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28289 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28290 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28291 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28292 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28293 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28294 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28295 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28296 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28297 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28298 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28299 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28300 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28301 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28302 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28303 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28304 #INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28305 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28306 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28307 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28308 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28309 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28310 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28311 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28312 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28313 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28314 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28315 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28316 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28317 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28318 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28319 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28320 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28321 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28322 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28323 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28324 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28325 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28326 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28327 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28328 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28329 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28330 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28331 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28332 
28333 INST "decoder_inst/compExch_Layer_14_to_15_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_33_34";
28334 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_33_34" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28335 
28336 
28337 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/M*" U_SET="uset_compExch_Layer_14_to_15_sites_35_36";
28338 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28339 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28340 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28341 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28342 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28343 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28344 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28345 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28346 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28347 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28348 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28349 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28350 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28351 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28352 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28353 #INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28354 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28355 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28356 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28357 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28358 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28359 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28360 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28361 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28362 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28363 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28364 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28365 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28366 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28367 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28368 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28369 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28370 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28371 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28372 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28373 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28374 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28375 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28376 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28377 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28378 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28379 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28380 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28381 #INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28382 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28383 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28384 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28385 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28386 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28387 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28388 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28389 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28390 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28391 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28392 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28393 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28394 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28395 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28396 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28397 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28398 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28399 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28400 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28401 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28402 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28403 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28404 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28405 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28406 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28407 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28408 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28409 
28410 INST "decoder_inst/compExch_Layer_14_to_15_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_35_36";
28411 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_35_36" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28412 
28413 
28414 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/M*" U_SET="uset_compExch_Layer_14_to_15_sites_37_38";
28415 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28416 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28417 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28418 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28419 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28420 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28421 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28422 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28423 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28424 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28425 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28426 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28427 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28428 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28429 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28430 #INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28431 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28432 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28433 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28434 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28435 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28436 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28437 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28438 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28439 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28440 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28441 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28442 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28443 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28444 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28445 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28446 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28447 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28448 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28449 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28450 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28451 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28452 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28453 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28454 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28455 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28456 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28457 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28458 #INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28459 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28460 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28461 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28462 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28463 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28464 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28465 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28466 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28467 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28468 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28469 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28470 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28471 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28472 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28473 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28474 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28475 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28476 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28477 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28478 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28479 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28480 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28481 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28482 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28483 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28484 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28485 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28486 
28487 INST "decoder_inst/compExch_Layer_14_to_15_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_37_38";
28488 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_37_38" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28489 
28490 
28491 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/M*" U_SET="uset_compExch_Layer_14_to_15_sites_39_40";
28492 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28493 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28494 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28495 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28496 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28497 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28498 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28499 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28500 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28501 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28502 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28503 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28504 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28505 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28506 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28507 #INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28508 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28509 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28510 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28511 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28512 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28513 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28514 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28515 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28516 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28517 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28518 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28519 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28520 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28521 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28522 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28523 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28524 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28525 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28526 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28527 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28528 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28529 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28530 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28531 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28532 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28533 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28534 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28535 #INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28536 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28537 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28538 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28539 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28540 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28541 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28542 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28543 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28544 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28545 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28546 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28547 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28548 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28549 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28550 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28551 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28552 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28553 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28554 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28555 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28556 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28557 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28558 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28559 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28560 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28561 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28562 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28563 
28564 INST "decoder_inst/compExch_Layer_14_to_15_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_39_40";
28565 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_39_40" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28566 
28567 
28568 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/M*" U_SET="uset_compExch_Layer_14_to_15_sites_41_42";
28569 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28570 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28571 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28572 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28573 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28574 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28575 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28576 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28577 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28578 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28579 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28580 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28581 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28582 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28583 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28584 #INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28585 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28586 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28587 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28588 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28589 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28590 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28591 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28592 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28593 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28594 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28595 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28596 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28597 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28598 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28599 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28600 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28601 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28602 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28603 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28604 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28605 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28606 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28607 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28608 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28609 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28610 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28611 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28612 #INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28613 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28614 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28615 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28616 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28617 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28618 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28619 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28620 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28621 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28622 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28623 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28624 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28625 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28626 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28627 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28628 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28629 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28630 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28631 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28632 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28633 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28634 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28635 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28636 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28637 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28638 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28639 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28640 
28641 INST "decoder_inst/compExch_Layer_14_to_15_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_41_42";
28642 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_41_42" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28643 
28644 
28645 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/M*" U_SET="uset_compExch_Layer_14_to_15_sites_43_44";
28646 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28647 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28648 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28649 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28650 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28651 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28652 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28653 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28654 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28655 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28656 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28657 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28658 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28659 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28660 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28661 #INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28662 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28663 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28664 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28665 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28666 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28667 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28668 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28669 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28670 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28671 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28672 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28673 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28674 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28675 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28676 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28677 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28678 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28679 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28680 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28681 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28682 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28683 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28684 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28685 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28686 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28687 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28688 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28689 #INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28690 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28691 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28692 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28693 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28694 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28695 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28696 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28697 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28698 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28699 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28700 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28701 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28702 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28703 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28704 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28705 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28706 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28707 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28708 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28709 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28710 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28711 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28712 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28713 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28714 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28715 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28716 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28717 
28718 INST "decoder_inst/compExch_Layer_14_to_15_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_43_44";
28719 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_43_44" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28720 
28721 
28722 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/M*" U_SET="uset_compExch_Layer_14_to_15_sites_45_46";
28723 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28724 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28725 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28726 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28727 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28728 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28729 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28730 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28731 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28732 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28733 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28734 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28735 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28736 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28737 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28738 #INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28739 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28740 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28741 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28742 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28743 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28744 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28745 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28746 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28747 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28748 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28749 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28750 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28751 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28752 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28753 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28754 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28755 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28756 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28757 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28758 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28759 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28760 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28761 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28762 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28763 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28764 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28765 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28766 #INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28767 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28768 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28769 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28770 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28771 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28772 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28773 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28774 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28775 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28776 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28777 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28778 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28779 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28780 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28781 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28782 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28783 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28784 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28785 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28786 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28787 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28788 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28789 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28790 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28791 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28792 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28793 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28794 
28795 INST "decoder_inst/compExch_Layer_14_to_15_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_45_46";
28796 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_45_46" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28797 
28798 
28799 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/M*" U_SET="uset_compExch_Layer_14_to_15_sites_47_48";
28800 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28801 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28802 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28803 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28804 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28805 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28806 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28807 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28808 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28809 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28810 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28811 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28812 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28813 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28814 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28815 #INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28816 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28817 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28818 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28819 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28820 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28821 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28822 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28823 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28824 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28825 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28826 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28827 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28828 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28829 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28830 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28831 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28832 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28833 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28834 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28835 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28836 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28837 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28838 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28839 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28840 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28841 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28842 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28843 #INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28844 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28845 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28846 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28847 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28848 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28849 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28850 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28851 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28852 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28853 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28854 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28855 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28856 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28857 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28858 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28859 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28860 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28861 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28862 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28863 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28864 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28865 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28866 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28867 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28868 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28869 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28870 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28871 
28872 INST "decoder_inst/compExch_Layer_14_to_15_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_47_48";
28873 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_47_48" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28874 
28875 
28876 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/M*" U_SET="uset_compExch_Layer_14_to_15_sites_49_50";
28877 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28878 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28879 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28880 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28881 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28882 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28883 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28884 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28885 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28886 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28887 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28888 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28889 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28890 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28891 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28892 #INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28893 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28894 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28895 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28896 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28897 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28898 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28899 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28900 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28901 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28902 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28903 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28904 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28905 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28906 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28907 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28908 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28909 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28910 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28911 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28912 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28913 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28914 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28915 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28916 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28917 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28918 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28919 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28920 #INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28921 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28922 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
28923 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
28924 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
28925 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
28926 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
28927 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
28928 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
28929 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
28930 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
28931 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
28932 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
28933 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
28934 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
28935 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
28936 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
28937 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
28938 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
28939 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
28940 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
28941 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
28942 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
28943 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
28944 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
28945 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
28946 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
28947 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
28948 
28949 INST "decoder_inst/compExch_Layer_14_to_15_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_49_50";
28950 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_49_50" RANGE=SLICE_X196Y2:SLICE_X205Y233;
28951 
28952 
28953 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/M*" U_SET="uset_compExch_Layer_14_to_15_sites_51_52";
28954 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
28955 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
28956 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
28957 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
28958 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
28959 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
28960 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
28961 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
28962 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
28963 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
28964 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
28965 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
28966 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
28967 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
28968 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
28969 #INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
28970 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
28971 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
28972 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
28973 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
28974 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
28975 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
28976 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
28977 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
28978 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
28979 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
28980 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
28981 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
28982 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
28983 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
28984 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
28985 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
28986 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
28987 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
28988 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
28989 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
28990 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
28991 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
28992 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
28993 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
28994 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
28995 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
28996 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
28997 #INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
28998 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
28999 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29000 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29001 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29002 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29003 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29004 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29005 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29006 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29007 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29008 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29009 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29010 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29011 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29012 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29013 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29014 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29015 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29016 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29017 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29018 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29019 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29020 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29021 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29022 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29023 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29024 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29025 
29026 INST "decoder_inst/compExch_Layer_14_to_15_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_51_52";
29027 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_51_52" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29028 
29029 
29030 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/M*" U_SET="uset_compExch_Layer_14_to_15_sites_53_54";
29031 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29032 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29033 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29034 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29035 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29036 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29037 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29038 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29039 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29040 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29041 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29042 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29043 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29044 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29045 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29046 #INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29047 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29048 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29049 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29050 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29051 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29052 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29053 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29054 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29055 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29056 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29057 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29058 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29059 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29060 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29061 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29062 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29063 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29064 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29065 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29066 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29067 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29068 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29069 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29070 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29071 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29072 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29073 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29074 #INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29075 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29076 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29077 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29078 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29079 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29080 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29081 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29082 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29083 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29084 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29085 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29086 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29087 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29088 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29089 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29090 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29091 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29092 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29093 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29094 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29095 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29096 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29097 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29098 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29099 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29100 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29101 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29102 
29103 INST "decoder_inst/compExch_Layer_14_to_15_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_53_54";
29104 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_53_54" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29105 
29106 
29107 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/M*" U_SET="uset_compExch_Layer_14_to_15_sites_55_56";
29108 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29109 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29110 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29111 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29112 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29113 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29114 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29115 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29116 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29117 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29118 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29119 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29120 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29121 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29122 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29123 #INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29124 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29125 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29126 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29127 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29128 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29129 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29130 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29131 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29132 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29133 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29134 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29135 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29136 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29137 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29138 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29139 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29140 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29141 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29142 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29143 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29144 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29145 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29146 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29147 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29148 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29149 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29150 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29151 #INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29152 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29153 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29154 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29155 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29156 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29157 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29158 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29159 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29160 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29161 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29162 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29163 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29164 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29165 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29166 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29167 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29168 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29169 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29170 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29171 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29172 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29173 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29174 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29175 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29176 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29177 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29178 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29179 
29180 INST "decoder_inst/compExch_Layer_14_to_15_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_55_56";
29181 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_55_56" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29182 
29183 
29184 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/M*" U_SET="uset_compExch_Layer_14_to_15_sites_57_58";
29185 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29186 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29187 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29188 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29189 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29190 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29191 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29192 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29193 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29194 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29195 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29196 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29197 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29198 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29199 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29200 #INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29201 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29202 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29203 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29204 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29205 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29206 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29207 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29208 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29209 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29210 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29211 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29212 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29213 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29214 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29215 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29216 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29217 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29218 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29219 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29220 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29221 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29222 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29223 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29224 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29225 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29226 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29227 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29228 #INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29229 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29230 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29231 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29232 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29233 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29234 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29235 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29236 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29237 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29238 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29239 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29240 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29241 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29242 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29243 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29244 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29245 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29246 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29247 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29248 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29249 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29250 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29251 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29252 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29253 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29254 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29255 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29256 
29257 INST "decoder_inst/compExch_Layer_14_to_15_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_57_58";
29258 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_57_58" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29259 
29260 
29261 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/M*" U_SET="uset_compExch_Layer_14_to_15_sites_59_60";
29262 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29263 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29264 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29265 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29266 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29267 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29268 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29269 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29270 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29271 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29272 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29273 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29274 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29275 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29276 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29277 #INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29278 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29279 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29280 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29281 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29282 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29283 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29284 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29285 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29286 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29287 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29288 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29289 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29290 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29291 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29292 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29293 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29294 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29295 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29296 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29297 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29298 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29299 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29300 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29301 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29302 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29303 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29304 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29305 #INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29306 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29307 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29308 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29309 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29310 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29311 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29312 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29313 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29314 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29315 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29316 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29317 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29318 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29319 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29320 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29321 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29322 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29323 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29324 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29325 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29326 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29327 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29328 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29329 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29330 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29331 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29332 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29333 
29334 INST "decoder_inst/compExch_Layer_14_to_15_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_59_60";
29335 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_59_60" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29336 
29337 
29338 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/M*" U_SET="uset_compExch_Layer_14_to_15_sites_61_62";
29339 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29340 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29341 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29342 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29343 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29344 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29345 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29346 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29347 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29348 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29349 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29350 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29351 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29352 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29353 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29354 #INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29355 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29356 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29357 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29358 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29359 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29360 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29361 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29362 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29363 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29364 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29365 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29366 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29367 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29368 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29369 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29370 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29371 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29372 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29373 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29374 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29375 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29376 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29377 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29378 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29379 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29380 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29381 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29382 #INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29383 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29384 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29385 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29386 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29387 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29388 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29389 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29390 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29391 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29392 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29393 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29394 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29395 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29396 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29397 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29398 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29399 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29400 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29401 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29402 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29403 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29404 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29405 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29406 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29407 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29408 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29409 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29410 
29411 INST "decoder_inst/compExch_Layer_14_to_15_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_14_to_15_sites_61_62";
29412 AREA_GROUP "AREA_compExch_Layer_14_to_15_sites_61_62" RANGE=SLICE_X196Y2:SLICE_X205Y233;
29413 
29414 
29415 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/M*" U_SET="uset_compExch_Layer_15_to_16_sites_00_32";
29416 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29417 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29418 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29419 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29420 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29421 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29422 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29423 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29424 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29425 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29426 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29427 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29428 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29429 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29430 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29431 #INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29432 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29433 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29434 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29435 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29436 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29437 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29438 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29439 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29440 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29441 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29442 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29443 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29444 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29445 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29446 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29447 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29448 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29449 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29450 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29451 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29452 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29453 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29454 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29455 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29456 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29457 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29458 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29459 #INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29460 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29461 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29462 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29463 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29464 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29465 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29466 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29467 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29468 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29469 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29470 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29471 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29472 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29473 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29474 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29475 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29476 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29477 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29478 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29479 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29480 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29481 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29482 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29483 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29484 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29485 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29486 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29487 
29488 INST "decoder_inst/compExch_Layer_15_to_16_sites_00_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_00_32";
29489 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_00_32" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29490 
29491 
29492 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/M*" U_SET="uset_compExch_Layer_15_to_16_sites_01_33";
29493 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29494 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29495 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29496 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29497 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29498 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29499 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29500 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29501 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29502 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29503 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29504 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29505 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29506 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29507 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29508 #INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29509 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29510 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29511 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29512 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29513 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29514 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29515 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29516 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29517 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29518 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29519 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29520 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29521 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29522 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29523 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29524 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29525 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29526 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29527 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29528 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29529 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29530 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29531 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29532 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29533 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29534 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29535 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29536 #INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29537 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29538 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29539 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29540 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29541 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29542 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29543 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29544 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29545 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29546 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29547 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29548 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29549 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29550 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29551 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29552 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29553 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29554 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29555 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29556 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29557 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29558 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29559 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29560 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29561 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29562 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29563 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29564 
29565 INST "decoder_inst/compExch_Layer_15_to_16_sites_01_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_01_33";
29566 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_01_33" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29567 
29568 
29569 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/M*" U_SET="uset_compExch_Layer_15_to_16_sites_02_34";
29570 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29571 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29572 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29573 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29574 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29575 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29576 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29577 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29578 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29579 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29580 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29581 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29582 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29583 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29584 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29585 #INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29586 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29587 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29588 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29589 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29590 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29591 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29592 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29593 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29594 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29595 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29596 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29597 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29598 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29599 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29600 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29601 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29602 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29603 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29604 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29605 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29606 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29607 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29608 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29609 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29610 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29611 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29612 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29613 #INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29614 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29615 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29616 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29617 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29618 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29619 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29620 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29621 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29622 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29623 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29624 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29625 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29626 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29627 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29628 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29629 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29630 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29631 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29632 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29633 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29634 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29635 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29636 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29637 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29638 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29639 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29640 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29641 
29642 INST "decoder_inst/compExch_Layer_15_to_16_sites_02_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_02_34";
29643 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_02_34" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29644 
29645 
29646 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/M*" U_SET="uset_compExch_Layer_15_to_16_sites_03_35";
29647 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29648 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29649 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29650 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29651 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29652 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29653 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29654 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29655 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29656 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29657 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29658 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29659 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29660 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29661 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29662 #INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29663 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29664 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29665 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29666 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29667 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29668 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29669 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29670 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29671 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29672 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29673 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29674 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29675 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29676 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29677 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29678 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29679 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29680 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29681 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29682 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29683 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29684 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29685 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29686 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29687 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29688 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29689 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29690 #INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29691 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29692 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29693 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29694 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29695 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29696 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29697 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29698 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29699 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29700 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29701 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29702 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29703 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29704 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29705 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29706 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29707 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29708 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29709 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29710 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29711 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29712 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29713 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29714 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29715 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29716 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29717 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29718 
29719 INST "decoder_inst/compExch_Layer_15_to_16_sites_03_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_03_35";
29720 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_03_35" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29721 
29722 
29723 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/M*" U_SET="uset_compExch_Layer_15_to_16_sites_04_36";
29724 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29725 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29726 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29727 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29728 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29729 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29730 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29731 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29732 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29733 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29734 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29735 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29736 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29737 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29738 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29739 #INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29740 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29741 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29742 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29743 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29744 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29745 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29746 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29747 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29748 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29749 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29750 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29751 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29752 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29753 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29754 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29755 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29756 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29757 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29758 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29759 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29760 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29761 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29762 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29763 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29764 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29765 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29766 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29767 #INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29768 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29769 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29770 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29771 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29772 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29773 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29774 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29775 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29776 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29777 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29778 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29779 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29780 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29781 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29782 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29783 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29784 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29785 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29786 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29787 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29788 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29789 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29790 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29791 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29792 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29793 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29794 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29795 
29796 INST "decoder_inst/compExch_Layer_15_to_16_sites_04_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_04_36";
29797 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_04_36" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29798 
29799 
29800 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/M*" U_SET="uset_compExch_Layer_15_to_16_sites_05_37";
29801 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29802 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29803 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29804 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29805 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29806 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29807 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29808 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29809 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29810 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29811 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29812 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29813 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29814 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29815 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29816 #INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29817 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29818 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29819 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29820 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29821 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29822 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29823 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29824 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29825 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29826 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29827 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29828 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29829 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29830 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29831 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29832 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29833 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29834 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29835 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29836 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29837 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29838 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29839 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29840 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29841 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29842 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29843 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29844 #INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29845 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29846 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29847 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29848 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29849 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29850 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29851 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29852 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29853 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29854 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29855 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29856 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29857 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29858 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29859 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29860 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29861 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29862 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29863 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29864 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29865 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29866 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29867 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29868 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29869 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29870 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29871 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29872 
29873 INST "decoder_inst/compExch_Layer_15_to_16_sites_05_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_05_37";
29874 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_05_37" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29875 
29876 
29877 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/M*" U_SET="uset_compExch_Layer_15_to_16_sites_06_38";
29878 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29879 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29880 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29881 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29882 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29883 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29884 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29885 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29886 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29887 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29888 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29889 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29890 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29891 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29892 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29893 #INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29894 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29895 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29896 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29897 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29898 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29899 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29900 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29901 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29902 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29903 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29904 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29905 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29906 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29907 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29908 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29909 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29910 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29911 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29912 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29913 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29914 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29915 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29916 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29917 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29918 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29919 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29920 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29921 #INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29922 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
29923 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
29924 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
29925 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
29926 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
29927 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
29928 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
29929 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
29930 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
29931 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
29932 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
29933 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
29934 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
29935 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
29936 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
29937 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
29938 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
29939 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
29940 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
29941 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
29942 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
29943 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
29944 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
29945 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
29946 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
29947 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
29948 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
29949 
29950 INST "decoder_inst/compExch_Layer_15_to_16_sites_06_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_06_38";
29951 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_06_38" RANGE=SLICE_X200Y2:SLICE_X209Y233;
29952 
29953 
29954 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/M*" U_SET="uset_compExch_Layer_15_to_16_sites_07_39";
29955 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
29956 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
29957 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
29958 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
29959 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
29960 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
29961 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
29962 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
29963 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
29964 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
29965 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
29966 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
29967 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
29968 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
29969 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
29970 #INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
29971 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
29972 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
29973 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
29974 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
29975 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
29976 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
29977 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
29978 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
29979 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
29980 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
29981 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
29982 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
29983 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
29984 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
29985 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
29986 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
29987 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
29988 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
29989 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
29990 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
29991 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
29992 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
29993 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
29994 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
29995 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
29996 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
29997 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
29998 #INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
29999 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30000 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30001 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30002 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30003 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30004 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30005 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30006 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30007 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30008 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30009 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30010 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30011 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30012 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30013 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30014 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30015 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30016 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30017 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30018 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30019 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30020 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30021 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30022 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30023 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30024 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30025 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30026 
30027 INST "decoder_inst/compExch_Layer_15_to_16_sites_07_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_07_39";
30028 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_07_39" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30029 
30030 
30031 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/M*" U_SET="uset_compExch_Layer_15_to_16_sites_08_40";
30032 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30033 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30034 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30035 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30036 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30037 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30038 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30039 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30040 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30041 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30042 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30043 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30044 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30045 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30046 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30047 #INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30048 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30049 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30050 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30051 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30052 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30053 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30054 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30055 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30056 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30057 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30058 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30059 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30060 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30061 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30062 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30063 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30064 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30065 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30066 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30067 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30068 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30069 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30070 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30071 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30072 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30073 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30074 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30075 #INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30076 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30077 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30078 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30079 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30080 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30081 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30082 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30083 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30084 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30085 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30086 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30087 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30088 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30089 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30090 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30091 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30092 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30093 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30094 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30095 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30096 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30097 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30098 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30099 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30100 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30101 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30102 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30103 
30104 INST "decoder_inst/compExch_Layer_15_to_16_sites_08_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_08_40";
30105 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_08_40" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30106 
30107 
30108 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/M*" U_SET="uset_compExch_Layer_15_to_16_sites_09_41";
30109 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30110 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30111 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30112 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30113 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30114 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30115 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30116 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30117 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30118 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30119 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30120 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30121 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30122 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30123 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30124 #INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30125 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30126 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30127 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30128 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30129 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30130 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30131 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30132 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30133 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30134 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30135 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30136 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30137 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30138 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30139 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30140 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30141 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30142 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30143 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30144 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30145 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30146 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30147 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30148 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30149 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30150 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30151 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30152 #INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30153 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30154 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30155 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30156 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30157 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30158 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30159 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30160 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30161 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30162 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30163 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30164 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30165 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30166 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30167 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30168 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30169 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30170 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30171 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30172 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30173 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30174 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30175 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30176 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30177 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30178 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30179 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30180 
30181 INST "decoder_inst/compExch_Layer_15_to_16_sites_09_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_09_41";
30182 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_09_41" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30183 
30184 
30185 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/M*" U_SET="uset_compExch_Layer_15_to_16_sites_10_42";
30186 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30187 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30188 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30189 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30190 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30191 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30192 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30193 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30194 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30195 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30196 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30197 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30198 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30199 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30200 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30201 #INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30202 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30203 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30204 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30205 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30206 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30207 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30208 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30209 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30210 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30211 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30212 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30213 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30214 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30215 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30216 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30217 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30218 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30219 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30220 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30221 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30222 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30223 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30224 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30225 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30226 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30227 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30228 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30229 #INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30230 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30231 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30232 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30233 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30234 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30235 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30236 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30237 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30238 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30239 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30240 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30241 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30242 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30243 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30244 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30245 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30246 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30247 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30248 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30249 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30250 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30251 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30252 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30253 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30254 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30255 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30256 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30257 
30258 INST "decoder_inst/compExch_Layer_15_to_16_sites_10_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_10_42";
30259 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_10_42" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30260 
30261 
30262 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/M*" U_SET="uset_compExch_Layer_15_to_16_sites_11_43";
30263 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30264 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30265 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30266 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30267 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30268 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30269 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30270 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30271 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30272 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30273 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30274 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30275 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30276 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30277 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30278 #INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30279 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30280 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30281 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30282 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30283 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30284 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30285 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30286 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30287 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30288 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30289 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30290 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30291 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30292 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30293 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30294 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30295 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30296 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30297 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30298 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30299 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30300 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30301 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30302 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30303 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30304 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30305 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30306 #INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30307 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30308 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30309 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30310 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30311 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30312 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30313 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30314 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30315 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30316 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30317 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30318 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30319 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30320 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30321 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30322 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30323 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30324 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30325 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30326 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30327 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30328 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30329 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30330 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30331 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30332 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30333 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30334 
30335 INST "decoder_inst/compExch_Layer_15_to_16_sites_11_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_11_43";
30336 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_11_43" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30337 
30338 
30339 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/M*" U_SET="uset_compExch_Layer_15_to_16_sites_12_44";
30340 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30341 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30342 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30343 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30344 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30345 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30346 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30347 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30348 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30349 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30350 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30351 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30352 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30353 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30354 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30355 #INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30356 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30357 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30358 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30359 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30360 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30361 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30362 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30363 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30364 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30365 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30366 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30367 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30368 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30369 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30370 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30371 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30372 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30373 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30374 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30375 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30376 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30377 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30378 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30379 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30380 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30381 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30382 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30383 #INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30384 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30385 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30386 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30387 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30388 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30389 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30390 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30391 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30392 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30393 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30394 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30395 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30396 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30397 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30398 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30399 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30400 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30401 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30402 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30403 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30404 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30405 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30406 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30407 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30408 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30409 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30410 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30411 
30412 INST "decoder_inst/compExch_Layer_15_to_16_sites_12_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_12_44";
30413 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_12_44" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30414 
30415 
30416 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/M*" U_SET="uset_compExch_Layer_15_to_16_sites_13_45";
30417 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30418 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30419 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30420 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30421 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30422 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30423 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30424 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30425 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30426 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30427 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30428 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30429 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30430 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30431 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30432 #INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30433 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30434 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30435 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30436 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30437 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30438 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30439 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30440 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30441 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30442 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30443 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30444 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30445 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30446 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30447 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30448 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30449 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30450 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30451 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30452 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30453 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30454 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30455 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30456 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30457 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30458 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30459 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30460 #INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30461 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30462 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30463 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30464 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30465 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30466 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30467 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30468 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30469 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30470 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30471 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30472 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30473 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30474 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30475 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30476 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30477 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30478 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30479 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30480 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30481 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30482 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30483 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30484 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30485 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30486 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30487 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30488 
30489 INST "decoder_inst/compExch_Layer_15_to_16_sites_13_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_13_45";
30490 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_13_45" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30491 
30492 
30493 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/M*" U_SET="uset_compExch_Layer_15_to_16_sites_14_46";
30494 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30495 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30496 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30497 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30498 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30499 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30500 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30501 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30502 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30503 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30504 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30505 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30506 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30507 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30508 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30509 #INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30510 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30511 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30512 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30513 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30514 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30515 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30516 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30517 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30518 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30519 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30520 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30521 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30522 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30523 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30524 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30525 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30526 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30527 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30528 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30529 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30530 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30531 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30532 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30533 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30534 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30535 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30536 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30537 #INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30538 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30539 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30540 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30541 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30542 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30543 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30544 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30545 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30546 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30547 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30548 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30549 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30550 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30551 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30552 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30553 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30554 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30555 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30556 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30557 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30558 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30559 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30560 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30561 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30562 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30563 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30564 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30565 
30566 INST "decoder_inst/compExch_Layer_15_to_16_sites_14_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_14_46";
30567 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_14_46" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30568 
30569 
30570 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/M*" U_SET="uset_compExch_Layer_15_to_16_sites_15_47";
30571 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30572 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30573 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30574 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30575 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30576 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30577 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30578 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30579 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30580 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30581 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30582 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30583 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30584 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30585 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30586 #INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30587 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30588 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30589 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30590 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30591 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30592 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30593 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30594 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30595 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30596 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30597 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30598 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30599 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30600 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30601 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30602 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30603 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30604 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30605 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30606 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30607 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30608 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30609 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30610 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30611 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30612 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30613 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30614 #INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30615 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30616 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30617 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30618 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30619 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30620 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30621 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30622 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30623 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30624 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30625 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30626 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30627 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30628 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30629 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30630 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30631 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30632 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30633 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30634 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30635 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30636 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30637 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30638 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30639 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30640 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30641 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30642 
30643 INST "decoder_inst/compExch_Layer_15_to_16_sites_15_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_15_47";
30644 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_15_47" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30645 
30646 
30647 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/M*" U_SET="uset_compExch_Layer_15_to_16_sites_16_48";
30648 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30649 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30650 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30651 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30652 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30653 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30654 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30655 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30656 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30657 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30658 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30659 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30660 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30661 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30662 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30663 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30664 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30665 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30666 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30667 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30668 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30669 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30670 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30671 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30672 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30673 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30674 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30675 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30676 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30677 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30678 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30679 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30680 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30681 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30682 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30683 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30684 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30685 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30686 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30687 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30688 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30689 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30690 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30691 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30692 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30693 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30694 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30695 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30696 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30697 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30698 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30699 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30700 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30701 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30702 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30703 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30704 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30705 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30706 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30707 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30708 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30709 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30710 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30711 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30712 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30713 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30714 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30715 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30716 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30717 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30718 #INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30719 
30720 INST "decoder_inst/compExch_Layer_15_to_16_sites_16_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_16_48";
30721 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_16_48" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30722 
30723 
30724 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/M*" U_SET="uset_compExch_Layer_15_to_16_sites_17_49";
30725 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30726 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30727 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30728 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30729 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30730 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30731 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30732 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30733 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30734 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30735 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30736 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30737 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30738 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30739 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30740 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30741 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30742 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30743 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30744 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30745 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30746 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30747 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30748 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30749 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30750 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30751 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30752 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30753 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30754 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30755 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30756 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30757 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30758 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30759 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30760 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30761 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30762 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30763 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30764 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30765 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30766 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30767 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30768 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30769 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30770 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30771 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30772 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30773 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30774 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30775 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30776 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30777 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30778 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30779 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30780 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30781 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30782 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30783 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30784 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30785 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30786 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30787 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30788 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30789 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30790 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30791 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30792 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30793 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30794 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30795 #INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30796 
30797 INST "decoder_inst/compExch_Layer_15_to_16_sites_17_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_17_49";
30798 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_17_49" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30799 
30800 
30801 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/M*" U_SET="uset_compExch_Layer_15_to_16_sites_18_50";
30802 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30803 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30804 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30805 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30806 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30807 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30808 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30809 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30810 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30811 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30812 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30813 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30814 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30815 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30816 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30817 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30818 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30819 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30820 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30821 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30822 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30823 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30824 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30825 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30826 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30827 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30828 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30829 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30830 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30831 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30832 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30833 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30834 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30835 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30836 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30837 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30838 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30839 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30840 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30841 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30842 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30843 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30844 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30845 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30846 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30847 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30848 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30849 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30850 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30851 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30852 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30853 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30854 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30855 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30856 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30857 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30858 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30859 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30860 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30861 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30862 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30863 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30864 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30865 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30866 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30867 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30868 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30869 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30870 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30871 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30872 #INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30873 
30874 INST "decoder_inst/compExch_Layer_15_to_16_sites_18_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_18_50";
30875 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_18_50" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30876 
30877 
30878 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/M*" U_SET="uset_compExch_Layer_15_to_16_sites_19_51";
30879 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30880 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30881 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30882 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30883 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30884 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30885 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30886 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30887 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30888 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30889 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30890 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30891 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30892 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30893 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30894 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30895 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30896 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30897 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30898 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30899 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30900 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30901 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30902 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30903 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30904 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30905 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30906 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30907 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30908 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30909 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30910 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30911 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30912 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30913 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30914 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30915 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30916 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30917 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30918 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30919 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30920 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30921 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30922 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
30923 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
30924 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
30925 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
30926 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
30927 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
30928 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
30929 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
30930 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
30931 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
30932 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
30933 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
30934 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
30935 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
30936 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
30937 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
30938 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
30939 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
30940 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
30941 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
30942 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
30943 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
30944 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
30945 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
30946 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
30947 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
30948 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
30949 #INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
30950 
30951 INST "decoder_inst/compExch_Layer_15_to_16_sites_19_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_19_51";
30952 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_19_51" RANGE=SLICE_X200Y2:SLICE_X209Y233;
30953 
30954 
30955 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/M*" U_SET="uset_compExch_Layer_15_to_16_sites_20_52";
30956 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
30957 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
30958 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
30959 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
30960 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
30961 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
30962 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
30963 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
30964 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
30965 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
30966 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
30967 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
30968 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
30969 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
30970 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
30971 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
30972 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
30973 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
30974 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
30975 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
30976 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
30977 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
30978 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
30979 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
30980 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
30981 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
30982 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
30983 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
30984 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
30985 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
30986 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
30987 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
30988 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
30989 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
30990 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
30991 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
30992 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
30993 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
30994 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
30995 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
30996 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
30997 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
30998 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
30999 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31000 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31001 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31002 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31003 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31004 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31005 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31006 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31007 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31008 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31009 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31010 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31011 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31012 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31013 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31014 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31015 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31016 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31017 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31018 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31019 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31020 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31021 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31022 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31023 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31024 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31025 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31026 #INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31027 
31028 INST "decoder_inst/compExch_Layer_15_to_16_sites_20_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_20_52";
31029 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_20_52" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31030 
31031 
31032 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/M*" U_SET="uset_compExch_Layer_15_to_16_sites_21_53";
31033 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31034 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31035 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31036 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31037 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31038 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31039 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31040 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31041 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31042 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31043 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31044 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31045 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31046 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31047 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31048 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31049 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31050 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31051 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31052 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31053 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31054 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31055 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31056 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31057 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31058 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31059 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31060 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31061 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31062 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31063 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31064 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31065 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31066 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31067 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31068 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31069 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31070 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31071 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31072 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31073 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31074 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31075 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31076 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31077 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31078 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31079 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31080 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31081 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31082 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31083 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31084 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31085 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31086 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31087 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31088 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31089 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31090 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31091 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31092 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31093 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31094 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31095 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31096 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31097 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31098 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31099 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31100 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31101 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31102 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31103 #INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31104 
31105 INST "decoder_inst/compExch_Layer_15_to_16_sites_21_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_21_53";
31106 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_21_53" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31107 
31108 
31109 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/M*" U_SET="uset_compExch_Layer_15_to_16_sites_22_54";
31110 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31111 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31112 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31113 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31114 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31115 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31116 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31117 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31118 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31119 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31120 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31121 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31122 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31123 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31124 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31125 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31126 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31127 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31128 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31129 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31130 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31131 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31132 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31133 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31134 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31135 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31136 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31137 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31138 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31139 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31140 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31141 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31142 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31143 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31144 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31145 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31146 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31147 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31148 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31149 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31150 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31151 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31152 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31153 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31154 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31155 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31156 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31157 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31158 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31159 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31160 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31161 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31162 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31163 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31164 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31165 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31166 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31167 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31168 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31169 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31170 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31171 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31172 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31173 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31174 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31175 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31176 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31177 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31178 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31179 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31180 #INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31181 
31182 INST "decoder_inst/compExch_Layer_15_to_16_sites_22_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_22_54";
31183 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_22_54" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31184 
31185 
31186 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/M*" U_SET="uset_compExch_Layer_15_to_16_sites_23_55";
31187 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31188 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31189 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31190 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31191 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31192 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31193 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31194 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31195 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31196 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31197 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31198 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31199 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31200 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31201 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31202 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31203 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31204 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31205 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31206 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31207 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31208 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31209 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31210 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31211 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31212 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31213 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31214 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31215 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31216 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31217 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31218 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31219 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31220 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31221 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31222 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31223 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31224 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31225 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31226 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31227 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31228 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31229 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31230 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31231 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31232 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31233 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31234 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31235 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31236 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31237 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31238 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31239 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31240 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31241 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31242 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31243 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31244 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31245 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31246 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31247 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31248 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31249 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31250 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31251 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31252 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31253 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31254 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31255 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31256 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31257 #INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31258 
31259 INST "decoder_inst/compExch_Layer_15_to_16_sites_23_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_23_55";
31260 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_23_55" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31261 
31262 
31263 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/M*" U_SET="uset_compExch_Layer_15_to_16_sites_24_56";
31264 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31265 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31266 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31267 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31268 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31269 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31270 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31271 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31272 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31273 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31274 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31275 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31276 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31277 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31278 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31279 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31280 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31281 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31282 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31283 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31284 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31285 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31286 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31287 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31288 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31289 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31290 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31291 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31292 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31293 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31294 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31295 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31296 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31297 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31298 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31299 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31300 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31301 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31302 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31303 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31304 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31305 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31306 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31307 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31308 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31309 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31310 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31311 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31312 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31313 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31314 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31315 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31316 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31317 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31318 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31319 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31320 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31321 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31322 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31323 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31324 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31325 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31326 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31327 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31328 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31329 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31330 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31331 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31332 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31333 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31334 #INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31335 
31336 INST "decoder_inst/compExch_Layer_15_to_16_sites_24_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_24_56";
31337 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_24_56" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31338 
31339 
31340 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/M*" U_SET="uset_compExch_Layer_15_to_16_sites_25_57";
31341 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31342 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31343 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31344 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31345 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31346 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31347 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31348 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31349 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31350 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31351 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31352 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31353 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31354 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31355 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31356 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31357 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31358 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31359 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31360 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31361 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31362 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31363 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31364 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31365 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31366 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31367 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31368 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31369 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31370 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31371 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31372 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31373 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31374 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31375 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31376 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31377 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31378 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31379 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31380 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31381 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31382 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31383 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31384 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31385 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31386 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31387 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31388 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31389 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31390 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31391 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31392 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31393 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31394 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31395 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31396 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31397 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31398 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31399 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31400 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31401 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31402 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31403 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31404 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31405 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31406 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31407 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31408 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31409 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31410 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31411 #INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31412 
31413 INST "decoder_inst/compExch_Layer_15_to_16_sites_25_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_25_57";
31414 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_25_57" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31415 
31416 
31417 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/M*" U_SET="uset_compExch_Layer_15_to_16_sites_26_58";
31418 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31419 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31420 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31421 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31422 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31423 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31424 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31425 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31426 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31427 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31428 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31429 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31430 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31431 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31432 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31433 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31434 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31435 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31436 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31437 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31438 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31439 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31440 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31441 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31442 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31443 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31444 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31445 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31446 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31447 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31448 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31449 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31450 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31451 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31452 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31453 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31454 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31455 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31456 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31457 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31458 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31459 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31460 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31461 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31462 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31463 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31464 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31465 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31466 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31467 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31468 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31469 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31470 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31471 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31472 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31473 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31474 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31475 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31476 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31477 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31478 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31479 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31480 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31481 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31482 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31483 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31484 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31485 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31486 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31487 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31488 #INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31489 
31490 INST "decoder_inst/compExch_Layer_15_to_16_sites_26_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_26_58";
31491 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_26_58" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31492 
31493 
31494 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/M*" U_SET="uset_compExch_Layer_15_to_16_sites_27_59";
31495 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31496 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31497 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31498 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31499 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31500 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31501 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31502 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31503 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31504 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31505 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31506 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31507 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31508 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31509 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31510 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31511 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31512 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31513 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31514 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31515 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31516 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31517 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31518 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31519 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31520 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31521 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31522 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31523 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31524 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31525 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31526 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31527 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31528 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31529 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31530 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31531 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31532 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31533 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31534 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31535 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31536 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31537 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31538 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31539 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31540 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31541 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31542 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31543 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31544 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31545 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31546 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31547 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31548 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31549 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31550 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31551 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31552 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31553 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31554 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31555 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31556 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31557 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31558 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31559 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31560 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31561 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31562 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31563 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31564 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31565 #INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31566 
31567 INST "decoder_inst/compExch_Layer_15_to_16_sites_27_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_27_59";
31568 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_27_59" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31569 
31570 
31571 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/M*" U_SET="uset_compExch_Layer_15_to_16_sites_28_60";
31572 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31573 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31574 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31575 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31576 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31577 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31578 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31579 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31580 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31581 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31582 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31583 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31584 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31585 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31586 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31587 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31588 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31589 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31590 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31591 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31592 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31593 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31594 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31595 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31596 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31597 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31598 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31599 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31600 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31601 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31602 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31603 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31604 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31605 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31606 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31607 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31608 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31609 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31610 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31611 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31612 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31613 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31614 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31615 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31616 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31617 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31618 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31619 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31620 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31621 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31622 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31623 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31624 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31625 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31626 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31627 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31628 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31629 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31630 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31631 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31632 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31633 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31634 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31635 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31636 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31637 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31638 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31639 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31640 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31641 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31642 #INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31643 
31644 INST "decoder_inst/compExch_Layer_15_to_16_sites_28_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_28_60";
31645 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_28_60" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31646 
31647 
31648 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/M*" U_SET="uset_compExch_Layer_15_to_16_sites_29_61";
31649 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31650 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31651 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31652 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31653 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31654 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31655 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31656 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31657 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31658 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31659 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31660 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31661 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31662 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31663 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31664 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31665 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31666 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31667 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31668 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31669 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31670 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31671 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31672 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31673 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31674 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31675 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31676 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31677 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31678 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31679 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31680 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31681 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31682 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31683 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31684 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31685 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31686 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31687 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31688 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31689 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31690 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31691 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31692 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31693 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31694 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31695 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31696 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31697 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31698 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31699 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31700 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31701 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31702 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31703 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31704 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31705 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31706 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31707 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31708 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31709 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31710 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31711 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31712 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31713 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31714 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31715 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31716 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31717 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31718 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31719 #INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31720 
31721 INST "decoder_inst/compExch_Layer_15_to_16_sites_29_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_29_61";
31722 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_29_61" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31723 
31724 
31725 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/M*" U_SET="uset_compExch_Layer_15_to_16_sites_30_62";
31726 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31727 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31728 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31729 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31730 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31731 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31732 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31733 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31734 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31735 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31736 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31737 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31738 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31739 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31740 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31741 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31742 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31743 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31744 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31745 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31746 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31747 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31748 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31749 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31750 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31751 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31752 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31753 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31754 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31755 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31756 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31757 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31758 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31759 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31760 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31761 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31762 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31763 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31764 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31765 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31766 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31767 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31768 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31769 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31770 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31771 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31772 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31773 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31774 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31775 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31776 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31777 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31778 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31779 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31780 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31781 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31782 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31783 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31784 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31785 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31786 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31787 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31788 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31789 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31790 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31791 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31792 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31793 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31794 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31795 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31796 #INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31797 
31798 INST "decoder_inst/compExch_Layer_15_to_16_sites_30_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_30_62";
31799 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_30_62" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31800 
31801 
31802 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/M*" U_SET="uset_compExch_Layer_15_to_16_sites_31_63";
31803 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31804 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31805 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31806 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31807 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31808 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31809 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31810 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31811 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31812 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31813 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31814 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31815 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31816 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31817 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31818 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31819 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31820 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31821 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31822 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31823 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31824 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31825 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31826 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31827 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31828 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31829 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31830 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31831 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31832 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31833 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31834 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31835 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31836 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31837 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31838 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31839 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31840 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31841 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31842 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31843 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31844 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31845 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31846 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31847 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31848 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31849 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31850 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31851 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31852 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31853 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31854 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31855 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31856 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31857 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31858 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31859 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31860 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31861 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31862 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31863 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31864 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31865 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31866 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31867 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31868 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31869 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31870 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31871 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31872 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31873 #INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31874 
31875 INST "decoder_inst/compExch_Layer_15_to_16_sites_31_63/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_15_to_16_sites_31_63";
31876 AREA_GROUP "AREA_compExch_Layer_15_to_16_sites_31_63" RANGE=SLICE_X200Y2:SLICE_X209Y233;
31877 
31878 
31879 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/M*" U_SET="uset_compExch_Layer_16_to_17_sites_16_32";
31880 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31881 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31882 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31883 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31884 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31885 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31886 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31887 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31888 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31889 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31890 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31891 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31892 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31893 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31894 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31895 #INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31896 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31897 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31898 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31899 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31900 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31901 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31902 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31903 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31904 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31905 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31906 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31907 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31908 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31909 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31910 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31911 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31912 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31913 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31914 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31915 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31916 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31917 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31918 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31919 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31920 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31921 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31922 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
31923 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
31924 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
31925 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
31926 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
31927 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
31928 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
31929 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
31930 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
31931 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
31932 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
31933 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
31934 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
31935 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
31936 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
31937 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
31938 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
31939 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
31940 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
31941 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
31942 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
31943 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
31944 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
31945 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
31946 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
31947 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
31948 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
31949 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
31950 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
31951 
31952 INST "decoder_inst/compExch_Layer_16_to_17_sites_16_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_16_32";
31953 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_16_32" RANGE=SLICE_X204Y2:SLICE_X213Y233;
31954 
31955 
31956 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/M*" U_SET="uset_compExch_Layer_16_to_17_sites_17_33";
31957 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
31958 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
31959 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
31960 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
31961 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
31962 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
31963 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
31964 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
31965 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
31966 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
31967 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
31968 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
31969 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
31970 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
31971 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
31972 #INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
31973 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
31974 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
31975 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
31976 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
31977 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
31978 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
31979 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
31980 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
31981 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
31982 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
31983 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
31984 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
31985 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
31986 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
31987 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
31988 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
31989 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
31990 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
31991 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
31992 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
31993 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
31994 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
31995 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
31996 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
31997 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
31998 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
31999 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32000 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32001 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32002 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32003 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32004 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32005 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32006 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32007 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32008 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32009 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32010 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32011 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32012 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32013 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32014 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32015 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32016 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32017 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32018 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32019 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32020 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32021 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32022 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32023 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32024 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32025 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32026 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32027 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32028 
32029 INST "decoder_inst/compExch_Layer_16_to_17_sites_17_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_17_33";
32030 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_17_33" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32031 
32032 
32033 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/M*" U_SET="uset_compExch_Layer_16_to_17_sites_18_34";
32034 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32035 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32036 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32037 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32038 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32039 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32040 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32041 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32042 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32043 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32044 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32045 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32046 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32047 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32048 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32049 #INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32050 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32051 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32052 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32053 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32054 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32055 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32056 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32057 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32058 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32059 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32060 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32061 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32062 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32063 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32064 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32065 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32066 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32067 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32068 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32069 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32070 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32071 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32072 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32073 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32074 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32075 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32076 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32077 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32078 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32079 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32080 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32081 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32082 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32083 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32084 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32085 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32086 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32087 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32088 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32089 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32090 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32091 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32092 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32093 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32094 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32095 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32096 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32097 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32098 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32099 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32100 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32101 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32102 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32103 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32104 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32105 
32106 INST "decoder_inst/compExch_Layer_16_to_17_sites_18_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_18_34";
32107 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_18_34" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32108 
32109 
32110 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/M*" U_SET="uset_compExch_Layer_16_to_17_sites_19_35";
32111 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32112 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32113 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32114 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32115 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32116 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32117 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32118 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32119 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32120 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32121 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32122 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32123 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32124 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32125 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32126 #INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32127 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32128 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32129 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32130 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32131 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32132 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32133 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32134 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32135 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32136 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32137 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32138 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32139 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32140 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32141 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32142 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32143 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32144 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32145 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32146 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32147 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32148 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32149 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32150 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32151 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32152 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32153 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32154 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32155 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32156 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32157 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32158 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32159 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32160 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32161 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32162 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32163 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32164 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32165 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32166 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32167 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32168 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32169 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32170 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32171 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32172 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32173 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32174 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32175 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32176 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32177 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32178 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32179 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32180 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32181 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32182 
32183 INST "decoder_inst/compExch_Layer_16_to_17_sites_19_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_19_35";
32184 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_19_35" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32185 
32186 
32187 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/M*" U_SET="uset_compExch_Layer_16_to_17_sites_20_36";
32188 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32189 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32190 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32191 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32192 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32193 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32194 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32195 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32196 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32197 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32198 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32199 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32200 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32201 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32202 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32203 #INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32204 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32205 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32206 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32207 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32208 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32209 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32210 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32211 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32212 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32213 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32214 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32215 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32216 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32217 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32218 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32219 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32220 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32221 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32222 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32223 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32224 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32225 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32226 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32227 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32228 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32229 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32230 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32231 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32232 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32233 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32234 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32235 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32236 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32237 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32238 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32239 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32240 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32241 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32242 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32243 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32244 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32245 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32246 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32247 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32248 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32249 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32250 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32251 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32252 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32253 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32254 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32255 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32256 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32257 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32258 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32259 
32260 INST "decoder_inst/compExch_Layer_16_to_17_sites_20_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_20_36";
32261 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_20_36" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32262 
32263 
32264 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/M*" U_SET="uset_compExch_Layer_16_to_17_sites_21_37";
32265 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32266 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32267 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32268 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32269 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32270 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32271 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32272 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32273 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32274 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32275 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32276 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32277 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32278 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32279 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32280 #INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32281 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32282 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32283 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32284 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32285 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32286 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32287 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32288 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32289 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32290 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32291 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32292 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32293 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32294 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32295 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32296 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32297 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32298 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32299 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32300 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32301 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32302 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32303 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32304 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32305 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32306 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32307 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32308 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32309 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32310 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32311 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32312 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32313 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32314 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32315 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32316 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32317 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32318 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32319 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32320 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32321 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32322 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32323 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32324 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32325 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32326 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32327 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32328 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32329 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32330 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32331 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32332 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32333 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32334 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32335 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32336 
32337 INST "decoder_inst/compExch_Layer_16_to_17_sites_21_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_21_37";
32338 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_21_37" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32339 
32340 
32341 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/M*" U_SET="uset_compExch_Layer_16_to_17_sites_22_38";
32342 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32343 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32344 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32345 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32346 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32347 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32348 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32349 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32350 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32351 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32352 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32353 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32354 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32355 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32356 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32357 #INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32358 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32359 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32360 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32361 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32362 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32363 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32364 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32365 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32366 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32367 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32368 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32369 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32370 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32371 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32372 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32373 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32374 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32375 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32376 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32377 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32378 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32379 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32380 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32381 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32382 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32383 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32384 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32385 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32386 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32387 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32388 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32389 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32390 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32391 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32392 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32393 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32394 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32395 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32396 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32397 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32398 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32399 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32400 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32401 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32402 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32403 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32404 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32405 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32406 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32407 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32408 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32409 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32410 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32411 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32412 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32413 
32414 INST "decoder_inst/compExch_Layer_16_to_17_sites_22_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_22_38";
32415 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_22_38" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32416 
32417 
32418 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/M*" U_SET="uset_compExch_Layer_16_to_17_sites_23_39";
32419 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32420 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32421 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32422 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32423 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32424 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32425 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32426 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32427 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32428 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32429 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32430 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32431 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32432 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32433 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32434 #INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32435 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32436 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32437 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32438 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32439 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32440 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32441 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32442 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32443 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32444 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32445 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32446 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32447 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32448 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32449 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32450 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32451 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32452 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32453 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32454 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32455 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32456 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32457 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32458 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32459 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32460 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32461 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32462 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32463 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32464 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32465 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32466 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32467 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32468 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32469 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32470 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32471 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32472 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32473 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32474 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32475 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32476 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32477 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32478 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32479 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32480 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32481 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32482 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32483 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32484 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32485 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32486 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32487 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32488 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32489 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32490 
32491 INST "decoder_inst/compExch_Layer_16_to_17_sites_23_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_23_39";
32492 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_23_39" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32493 
32494 
32495 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/M*" U_SET="uset_compExch_Layer_16_to_17_sites_24_40";
32496 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32497 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32498 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32499 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32500 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32501 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32502 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32503 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32504 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32505 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32506 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32507 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32508 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32509 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32510 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32511 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32512 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32513 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32514 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32515 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32516 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32517 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32518 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32519 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32520 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32521 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32522 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32523 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32524 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32525 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32526 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32527 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32528 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32529 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32530 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32531 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32532 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32533 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32534 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32535 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32536 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32537 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32538 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32539 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32540 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32541 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32542 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32543 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32544 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32545 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32546 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32547 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32548 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32549 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32550 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32551 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32552 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32553 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32554 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32555 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32556 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32557 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32558 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32559 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32560 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32561 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32562 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32563 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32564 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32565 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32566 #INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32567 
32568 INST "decoder_inst/compExch_Layer_16_to_17_sites_24_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_24_40";
32569 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_24_40" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32570 
32571 
32572 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/M*" U_SET="uset_compExch_Layer_16_to_17_sites_25_41";
32573 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32574 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32575 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32576 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32577 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32578 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32579 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32580 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32581 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32582 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32583 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32584 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32585 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32586 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32587 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32588 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32589 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32590 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32591 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32592 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32593 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32594 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32595 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32596 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32597 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32598 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32599 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32600 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32601 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32602 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32603 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32604 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32605 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32606 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32607 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32608 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32609 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32610 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32611 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32612 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32613 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32614 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32615 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32616 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32617 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32618 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32619 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32620 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32621 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32622 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32623 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32624 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32625 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32626 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32627 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32628 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32629 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32630 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32631 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32632 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32633 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32634 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32635 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32636 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32637 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32638 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32639 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32640 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32641 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32642 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32643 #INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32644 
32645 INST "decoder_inst/compExch_Layer_16_to_17_sites_25_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_25_41";
32646 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_25_41" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32647 
32648 
32649 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/M*" U_SET="uset_compExch_Layer_16_to_17_sites_26_42";
32650 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32651 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32652 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32653 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32654 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32655 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32656 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32657 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32658 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32659 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32660 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32661 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32662 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32663 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32664 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32665 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32666 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32667 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32668 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32669 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32670 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32671 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32672 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32673 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32674 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32675 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32676 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32677 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32678 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32679 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32680 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32681 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32682 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32683 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32684 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32685 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32686 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32687 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32688 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32689 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32690 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32691 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32692 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32693 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32694 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32695 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32696 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32697 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32698 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32699 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32700 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32701 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32702 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32703 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32704 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32705 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32706 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32707 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32708 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32709 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32710 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32711 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32712 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32713 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32714 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32715 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32716 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32717 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32718 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32719 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32720 #INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32721 
32722 INST "decoder_inst/compExch_Layer_16_to_17_sites_26_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_26_42";
32723 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_26_42" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32724 
32725 
32726 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/M*" U_SET="uset_compExch_Layer_16_to_17_sites_27_43";
32727 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32728 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32729 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32730 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32731 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32732 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32733 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32734 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32735 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32736 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32737 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32738 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32739 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32740 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32741 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32742 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32743 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32744 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32745 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32746 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32747 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32748 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32749 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32750 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32751 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32752 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32753 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32754 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32755 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32756 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32757 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32758 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32759 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32760 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32761 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32762 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32763 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32764 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32765 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32766 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32767 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32768 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32769 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32770 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32771 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32772 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32773 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32774 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32775 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32776 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32777 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32778 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32779 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32780 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32781 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32782 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32783 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32784 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32785 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32786 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32787 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32788 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32789 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32790 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32791 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32792 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32793 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32794 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32795 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32796 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32797 #INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32798 
32799 INST "decoder_inst/compExch_Layer_16_to_17_sites_27_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_27_43";
32800 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_27_43" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32801 
32802 
32803 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/M*" U_SET="uset_compExch_Layer_16_to_17_sites_28_44";
32804 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32805 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32806 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32807 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32808 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32809 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32810 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32811 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32812 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32813 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32814 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32815 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32816 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32817 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32818 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32819 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32820 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32821 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32822 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32823 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32824 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32825 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32826 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32827 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32828 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32829 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32830 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32831 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32832 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32833 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32834 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32835 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32836 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32837 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32838 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32839 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32840 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32841 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32842 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32843 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32844 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32845 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32846 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32847 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32848 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32849 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32850 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32851 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32852 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32853 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32854 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32855 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32856 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32857 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32858 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32859 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32860 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32861 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32862 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32863 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32864 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32865 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32866 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32867 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32868 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32869 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32870 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32871 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32872 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32873 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32874 #INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32875 
32876 INST "decoder_inst/compExch_Layer_16_to_17_sites_28_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_28_44";
32877 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_28_44" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32878 
32879 
32880 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/M*" U_SET="uset_compExch_Layer_16_to_17_sites_29_45";
32881 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32882 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32883 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32884 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32885 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32886 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32887 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32888 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32889 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32890 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32891 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32892 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32893 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32894 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32895 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32896 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32897 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32898 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32899 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32900 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32901 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32902 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32903 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32904 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32905 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32906 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32907 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32908 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32909 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32910 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32911 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32912 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32913 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32914 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32915 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32916 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32917 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32918 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32919 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32920 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32921 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32922 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
32923 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
32924 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
32925 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
32926 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
32927 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
32928 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
32929 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
32930 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
32931 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
32932 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
32933 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
32934 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
32935 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
32936 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
32937 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
32938 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
32939 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
32940 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
32941 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
32942 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
32943 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
32944 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
32945 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
32946 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
32947 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
32948 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
32949 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
32950 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
32951 #INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
32952 
32953 INST "decoder_inst/compExch_Layer_16_to_17_sites_29_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_29_45";
32954 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_29_45" RANGE=SLICE_X204Y2:SLICE_X213Y233;
32955 
32956 
32957 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/M*" U_SET="uset_compExch_Layer_16_to_17_sites_30_46";
32958 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
32959 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
32960 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
32961 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
32962 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
32963 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
32964 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
32965 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
32966 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
32967 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
32968 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
32969 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
32970 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
32971 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
32972 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
32973 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
32974 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
32975 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
32976 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
32977 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
32978 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
32979 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
32980 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
32981 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
32982 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
32983 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
32984 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
32985 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
32986 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
32987 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
32988 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
32989 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
32990 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
32991 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
32992 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
32993 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
32994 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
32995 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
32996 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
32997 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
32998 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
32999 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33000 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33001 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33002 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33003 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33004 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33005 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33006 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33007 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33008 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33009 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33010 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33011 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33012 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33013 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33014 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33015 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33016 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33017 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33018 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33019 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33020 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33021 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33022 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33023 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33024 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33025 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33026 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33027 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33028 #INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33029 
33030 INST "decoder_inst/compExch_Layer_16_to_17_sites_30_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_30_46";
33031 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_30_46" RANGE=SLICE_X204Y2:SLICE_X213Y233;
33032 
33033 
33034 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/M*" U_SET="uset_compExch_Layer_16_to_17_sites_31_47";
33035 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33036 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33037 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33038 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33039 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33040 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33041 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33042 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33043 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33044 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33045 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33046 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33047 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33048 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33049 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33050 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33051 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33052 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33053 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33054 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33055 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33056 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33057 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33058 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33059 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33060 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33061 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33062 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33063 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33064 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33065 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33066 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33067 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33068 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33069 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33070 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33071 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33072 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33073 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33074 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33075 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33076 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33077 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33078 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33079 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33080 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33081 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33082 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33083 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33084 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33085 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33086 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33087 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33088 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33089 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33090 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33091 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33092 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33093 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33094 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33095 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33096 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33097 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33098 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33099 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33100 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33101 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33102 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33103 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33104 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33105 #INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33106 
33107 INST "decoder_inst/compExch_Layer_16_to_17_sites_31_47/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_16_to_17_sites_31_47";
33108 AREA_GROUP "AREA_compExch_Layer_16_to_17_sites_31_47" RANGE=SLICE_X204Y2:SLICE_X213Y233;
33109 
33110 
33111 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/M*" U_SET="uset_compExch_Layer_17_to_18_sites_08_16";
33112 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33113 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33114 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33115 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33116 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33117 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33118 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33119 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33120 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33121 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33122 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33123 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33124 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33125 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33126 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33127 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33128 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33129 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33130 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33131 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33132 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33133 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33134 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33135 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33136 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33137 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33138 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33139 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33140 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33141 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33142 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33143 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33144 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33145 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33146 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33147 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33148 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33149 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33150 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33151 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33152 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33153 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33154 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33155 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33156 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33157 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33158 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33159 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33160 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33161 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33162 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33163 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33164 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33165 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33166 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33167 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33168 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33169 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33170 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33171 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33172 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33173 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33174 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33175 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33176 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33177 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33178 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33179 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33180 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33181 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33182 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33183 
33184 INST "decoder_inst/compExch_Layer_17_to_18_sites_08_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_08_16";
33185 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_08_16" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33186 
33187 
33188 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/M*" U_SET="uset_compExch_Layer_17_to_18_sites_09_17";
33189 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33190 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33191 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33192 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33193 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33194 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33195 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33196 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33197 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33198 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33199 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33200 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33201 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33202 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33203 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33204 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33205 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33206 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33207 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33208 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33209 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33210 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33211 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33212 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33213 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33214 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33215 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33216 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33217 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33218 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33219 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33220 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33221 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33222 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33223 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33224 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33225 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33226 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33227 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33228 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33229 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33230 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33231 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33232 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33233 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33234 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33235 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33236 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33237 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33238 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33239 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33240 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33241 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33242 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33243 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33244 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33245 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33246 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33247 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33248 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33249 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33250 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33251 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33252 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33253 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33254 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33255 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33256 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33257 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33258 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33259 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33260 
33261 INST "decoder_inst/compExch_Layer_17_to_18_sites_09_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_09_17";
33262 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_09_17" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33263 
33264 
33265 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/M*" U_SET="uset_compExch_Layer_17_to_18_sites_10_18";
33266 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33267 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33268 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33269 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33270 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33271 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33272 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33273 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33274 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33275 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33276 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33277 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33278 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33279 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33280 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33281 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33282 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33283 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33284 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33285 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33286 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33287 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33288 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33289 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33290 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33291 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33292 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33293 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33294 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33295 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33296 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33297 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33298 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33299 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33300 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33301 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33302 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33303 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33304 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33305 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33306 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33307 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33308 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33309 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33310 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33311 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33312 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33313 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33314 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33315 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33316 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33317 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33318 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33319 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33320 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33321 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33322 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33323 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33324 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33325 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33326 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33327 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33328 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33329 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33330 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33331 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33332 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33333 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33334 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33335 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33336 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33337 
33338 INST "decoder_inst/compExch_Layer_17_to_18_sites_10_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_10_18";
33339 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_10_18" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33340 
33341 
33342 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/M*" U_SET="uset_compExch_Layer_17_to_18_sites_11_19";
33343 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33344 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33345 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33346 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33347 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33348 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33349 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33350 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33351 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33352 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33353 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33354 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33355 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33356 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33357 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33358 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33359 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33360 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33361 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33362 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33363 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33364 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33365 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33366 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33367 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33368 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33369 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33370 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33371 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33372 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33373 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33374 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33375 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33376 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33377 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33378 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33379 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33380 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33381 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33382 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33383 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33384 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33385 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33386 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33387 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33388 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33389 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33390 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33391 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33392 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33393 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33394 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33395 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33396 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33397 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33398 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33399 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33400 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33401 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33402 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33403 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33404 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33405 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33406 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33407 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33408 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33409 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33410 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33411 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33412 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33413 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33414 
33415 INST "decoder_inst/compExch_Layer_17_to_18_sites_11_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_11_19";
33416 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_11_19" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33417 
33418 
33419 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/M*" U_SET="uset_compExch_Layer_17_to_18_sites_12_20";
33420 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33421 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33422 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33423 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33424 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33425 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33426 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33427 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33428 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33429 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33430 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33431 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33432 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33433 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33434 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33435 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33436 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33437 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33438 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33439 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33440 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33441 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33442 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33443 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33444 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33445 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33446 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33447 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33448 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33449 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33450 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33451 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33452 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33453 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33454 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33455 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33456 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33457 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33458 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33459 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33460 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33461 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33462 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33463 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33464 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33465 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33466 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33467 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33468 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33469 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33470 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33471 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33472 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33473 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33474 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33475 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33476 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33477 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33478 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33479 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33480 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33481 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33482 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33483 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33484 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33485 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33486 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33487 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33488 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33489 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33490 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33491 
33492 INST "decoder_inst/compExch_Layer_17_to_18_sites_12_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_12_20";
33493 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_12_20" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33494 
33495 
33496 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/M*" U_SET="uset_compExch_Layer_17_to_18_sites_13_21";
33497 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33498 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33499 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33500 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33501 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33502 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33503 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33504 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33505 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33506 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33507 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33508 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33509 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33510 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33511 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33512 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33513 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33514 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33515 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33516 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33517 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33518 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33519 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33520 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33521 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33522 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33523 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33524 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33525 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33526 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33527 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33528 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33529 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33530 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33531 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33532 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33533 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33534 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33535 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33536 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33537 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33538 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33539 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33540 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33541 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33542 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33543 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33544 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33545 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33546 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33547 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33548 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33549 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33550 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33551 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33552 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33553 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33554 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33555 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33556 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33557 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33558 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33559 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33560 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33561 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33562 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33563 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33564 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33565 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33566 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33567 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33568 
33569 INST "decoder_inst/compExch_Layer_17_to_18_sites_13_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_13_21";
33570 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_13_21" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33571 
33572 
33573 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/M*" U_SET="uset_compExch_Layer_17_to_18_sites_14_22";
33574 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33575 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33576 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33577 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33578 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33579 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33580 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33581 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33582 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33583 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33584 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33585 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33586 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33587 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33588 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33589 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33590 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33591 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33592 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33593 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33594 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33595 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33596 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33597 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33598 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33599 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33600 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33601 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33602 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33603 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33604 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33605 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33606 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33607 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33608 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33609 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33610 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33611 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33612 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33613 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33614 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33615 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33616 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33617 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33618 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33619 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33620 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33621 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33622 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33623 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33624 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33625 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33626 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33627 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33628 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33629 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33630 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33631 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33632 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33633 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33634 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33635 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33636 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33637 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33638 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33639 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33640 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33641 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33642 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33643 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33644 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33645 
33646 INST "decoder_inst/compExch_Layer_17_to_18_sites_14_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_14_22";
33647 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_14_22" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33648 
33649 
33650 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/M*" U_SET="uset_compExch_Layer_17_to_18_sites_15_23";
33651 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33652 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33653 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33654 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33655 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33656 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33657 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33658 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33659 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33660 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33661 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33662 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33663 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33664 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33665 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33666 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33667 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33668 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33669 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33670 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33671 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33672 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33673 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33674 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33675 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33676 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33677 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33678 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33679 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33680 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33681 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33682 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33683 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33684 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33685 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33686 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33687 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33688 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33689 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33690 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33691 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33692 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33693 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33694 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33695 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33696 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33697 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33698 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33699 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33700 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33701 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33702 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33703 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33704 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33705 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33706 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33707 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33708 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33709 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33710 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33711 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33712 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33713 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33714 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33715 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33716 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33717 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33718 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33719 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33720 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33721 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33722 
33723 INST "decoder_inst/compExch_Layer_17_to_18_sites_15_23/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_15_23";
33724 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_15_23" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33725 
33726 
33727 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/M*" U_SET="uset_compExch_Layer_17_to_18_sites_24_32";
33728 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33729 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33730 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33731 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33732 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33733 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33734 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33735 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33736 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33737 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33738 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33739 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33740 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33741 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33742 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33743 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33744 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33745 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33746 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33747 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33748 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33749 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33750 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33751 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33752 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33753 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33754 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33755 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33756 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33757 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33758 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33759 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33760 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33761 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33762 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33763 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33764 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33765 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33766 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33767 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33768 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33769 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33770 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33771 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33772 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33773 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33774 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33775 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33776 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33777 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33778 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33779 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33780 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33781 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33782 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33783 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33784 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33785 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33786 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33787 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33788 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33789 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33790 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33791 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33792 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33793 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33794 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33795 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33796 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33797 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33798 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33799 
33800 INST "decoder_inst/compExch_Layer_17_to_18_sites_24_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_24_32";
33801 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_24_32" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33802 
33803 
33804 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/M*" U_SET="uset_compExch_Layer_17_to_18_sites_25_33";
33805 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33806 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33807 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33808 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33809 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33810 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33811 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33812 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33813 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33814 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33815 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33816 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33817 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33818 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33819 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33820 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33821 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33822 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33823 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33824 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33825 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33826 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33827 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33828 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33829 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33830 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33831 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33832 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33833 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33834 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33835 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33836 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33837 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33838 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33839 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33840 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33841 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33842 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33843 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33844 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33845 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33846 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33847 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33848 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33849 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33850 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33851 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33852 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33853 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33854 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33855 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33856 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33857 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33858 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33859 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33860 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33861 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33862 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33863 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33864 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33865 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33866 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33867 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33868 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33869 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33870 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33871 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33872 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33873 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33874 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33875 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33876 
33877 INST "decoder_inst/compExch_Layer_17_to_18_sites_25_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_25_33";
33878 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_25_33" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33879 
33880 
33881 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/M*" U_SET="uset_compExch_Layer_17_to_18_sites_26_34";
33882 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33883 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33884 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33885 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33886 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33887 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33888 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33889 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33890 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33891 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33892 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33893 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33894 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33895 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33896 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33897 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33898 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33899 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33900 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33901 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33902 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33903 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33904 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33905 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33906 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33907 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33908 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33909 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33910 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33911 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33912 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33913 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33914 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33915 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33916 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33917 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33918 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33919 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33920 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33921 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33922 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
33923 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
33924 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
33925 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
33926 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
33927 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
33928 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
33929 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
33930 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
33931 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
33932 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
33933 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
33934 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
33935 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
33936 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
33937 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
33938 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
33939 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
33940 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
33941 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
33942 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
33943 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
33944 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
33945 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
33946 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
33947 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
33948 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
33949 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
33950 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
33951 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
33952 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
33953 
33954 INST "decoder_inst/compExch_Layer_17_to_18_sites_26_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_26_34";
33955 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_26_34" RANGE=SLICE_X208Y2:SLICE_X217Y233;
33956 
33957 
33958 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/M*" U_SET="uset_compExch_Layer_17_to_18_sites_27_35";
33959 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
33960 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
33961 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
33962 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
33963 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
33964 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
33965 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
33966 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
33967 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
33968 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
33969 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
33970 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
33971 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
33972 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
33973 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
33974 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
33975 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
33976 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
33977 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
33978 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
33979 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
33980 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
33981 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
33982 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
33983 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
33984 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
33985 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
33986 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
33987 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
33988 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
33989 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
33990 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
33991 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
33992 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
33993 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
33994 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
33995 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
33996 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
33997 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
33998 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
33999 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34000 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34001 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34002 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34003 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34004 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34005 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34006 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34007 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34008 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34009 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34010 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34011 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34012 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34013 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34014 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34015 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34016 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34017 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34018 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34019 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34020 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34021 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34022 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34023 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34024 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34025 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34026 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34027 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34028 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34029 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34030 
34031 INST "decoder_inst/compExch_Layer_17_to_18_sites_27_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_27_35";
34032 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_27_35" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34033 
34034 
34035 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/M*" U_SET="uset_compExch_Layer_17_to_18_sites_28_36";
34036 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34037 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34038 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34039 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34040 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34041 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34042 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34043 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34044 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34045 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34046 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34047 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34048 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34049 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34050 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34051 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34052 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34053 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34054 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34055 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34056 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34057 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34058 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34059 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34060 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34061 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34062 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34063 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34064 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34065 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34066 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34067 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34068 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34069 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34070 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34071 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34072 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34073 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34074 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34075 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34076 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34077 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34078 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34079 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34080 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34081 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34082 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34083 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34084 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34085 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34086 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34087 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34088 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34089 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34090 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34091 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34092 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34093 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34094 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34095 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34096 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34097 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34098 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34099 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34100 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34101 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34102 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34103 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34104 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34105 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34106 #INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34107 
34108 INST "decoder_inst/compExch_Layer_17_to_18_sites_28_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_28_36";
34109 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_28_36" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34110 
34111 
34112 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/M*" U_SET="uset_compExch_Layer_17_to_18_sites_29_37";
34113 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34114 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34115 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34116 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34117 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34118 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34119 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34120 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34121 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34122 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34123 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34124 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34125 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34126 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34127 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34128 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34129 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34130 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34131 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34132 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34133 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34134 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34135 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34136 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34137 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34138 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34139 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34140 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34141 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34142 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34143 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34144 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34145 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34146 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34147 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34148 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34149 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34150 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34151 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34152 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34153 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34154 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34155 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34156 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34157 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34158 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34159 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34160 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34161 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34162 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34163 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34164 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34165 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34166 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34167 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34168 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34169 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34170 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34171 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34172 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34173 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34174 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34175 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34176 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34177 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34178 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34179 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34180 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34181 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34182 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34183 #INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34184 
34185 INST "decoder_inst/compExch_Layer_17_to_18_sites_29_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_29_37";
34186 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_29_37" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34187 
34188 
34189 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/M*" U_SET="uset_compExch_Layer_17_to_18_sites_30_38";
34190 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34191 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34192 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34193 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34194 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34195 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34196 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34197 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34198 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34199 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34200 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34201 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34202 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34203 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34204 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34205 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34206 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34207 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34208 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34209 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34210 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34211 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34212 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34213 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34214 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34215 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34216 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34217 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34218 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34219 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34220 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34221 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34222 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34223 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34224 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34225 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34226 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34227 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34228 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34229 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34230 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34231 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34232 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34233 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34234 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34235 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34236 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34237 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34238 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34239 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34240 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34241 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34242 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34243 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34244 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34245 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34246 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34247 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34248 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34249 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34250 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34251 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34252 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34253 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34254 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34255 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34256 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34257 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34258 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34259 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34260 #INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34261 
34262 INST "decoder_inst/compExch_Layer_17_to_18_sites_30_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_30_38";
34263 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_30_38" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34264 
34265 
34266 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/M*" U_SET="uset_compExch_Layer_17_to_18_sites_31_39";
34267 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34268 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34269 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34270 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34271 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34272 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34273 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34274 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34275 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34276 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34277 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34278 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34279 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34280 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34281 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34282 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34283 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34284 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34285 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34286 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34287 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34288 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34289 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34290 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34291 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34292 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34293 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34294 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34295 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34296 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34297 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34298 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34299 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34300 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34301 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34302 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34303 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34304 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34305 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34306 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34307 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34308 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34309 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34310 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34311 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34312 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34313 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34314 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34315 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34316 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34317 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34318 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34319 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34320 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34321 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34322 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34323 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34324 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34325 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34326 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34327 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34328 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34329 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34330 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34331 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34332 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34333 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34334 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34335 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34336 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34337 #INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34338 
34339 INST "decoder_inst/compExch_Layer_17_to_18_sites_31_39/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_31_39";
34340 AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_31_39" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34341 
34342 
34343 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/M*" U_SET="uset_compExch_Layer_17_to_18_sites_40_48";
34344 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34345 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34346 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34347 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34348 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34349 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34350 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34351 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34352 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34353 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34354 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34355 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34356 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34357 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34358 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34359 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34360 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34361 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34362 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34363 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34364 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34365 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34366 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34367 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34368 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34369 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34370 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34371 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34372 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34373 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34374 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34375 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34376 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34377 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34378 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34379 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34380 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34381 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34382 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34383 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34384 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34385 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34386 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34387 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34388 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34389 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34390 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34391 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34392 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34393 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34394 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34395 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34396 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34397 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34398 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34399 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34400 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34401 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34402 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34403 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34404 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34405 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34406 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34407 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34408 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34409 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34410 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34411 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34412 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34413 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34414 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34415 
34416 #INST "decoder_inst/compExch_Layer_17_to_18_sites_40_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_40_48";
34417 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_40_48" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34418 
34419 
34420 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/M*" U_SET="uset_compExch_Layer_17_to_18_sites_41_49";
34421 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34422 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34423 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34424 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34425 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34426 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34427 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34428 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34429 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34430 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34431 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34432 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34433 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34434 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34435 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34436 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34437 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34438 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34439 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34440 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34441 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34442 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34443 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34444 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34445 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34446 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34447 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34448 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34449 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34450 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34451 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34452 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34453 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34454 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34455 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34456 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34457 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34458 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34459 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34460 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34461 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34462 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34463 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34464 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34465 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34466 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34467 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34468 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34469 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34470 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34471 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34472 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34473 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34474 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34475 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34476 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34477 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34478 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34479 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34480 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34481 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34482 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34483 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34484 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34485 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34486 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34487 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34488 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34489 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34490 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34491 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34492 
34493 #INST "decoder_inst/compExch_Layer_17_to_18_sites_41_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_41_49";
34494 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_41_49" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34495 
34496 
34497 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/M*" U_SET="uset_compExch_Layer_17_to_18_sites_42_50";
34498 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34499 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34500 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34501 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34502 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34503 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34504 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34505 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34506 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34507 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34508 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34509 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34510 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34511 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34512 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34513 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34514 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34515 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34516 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34517 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34518 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34519 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34520 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34521 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34522 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34523 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34524 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34525 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34526 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34527 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34528 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34529 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34530 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34531 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34532 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34533 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34534 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34535 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34536 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34537 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34538 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34539 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34540 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34541 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34542 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34543 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34544 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34545 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34546 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34547 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34548 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34549 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34550 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34551 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34552 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34553 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34554 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34555 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34556 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34557 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34558 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34559 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34560 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34561 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34562 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34563 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34564 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34565 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34566 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34567 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34568 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34569 
34570 #INST "decoder_inst/compExch_Layer_17_to_18_sites_42_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_42_50";
34571 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_42_50" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34572 
34573 
34574 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/M*" U_SET="uset_compExch_Layer_17_to_18_sites_43_51";
34575 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34576 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34577 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34578 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34579 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34580 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34581 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34582 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34583 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34584 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34585 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34586 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34587 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34588 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34589 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34590 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34591 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34592 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34593 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34594 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34595 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34596 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34597 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34598 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34599 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34600 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34601 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34602 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34603 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34604 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34605 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34606 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34607 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34608 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34609 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34610 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34611 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34612 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34613 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34614 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34615 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34616 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34617 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34618 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34619 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34620 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34621 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34622 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34623 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34624 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34625 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34626 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34627 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34628 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34629 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34630 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34631 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34632 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34633 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34634 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34635 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34636 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34637 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34638 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34639 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34640 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34641 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34642 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34643 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34644 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34645 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34646 
34647 #INST "decoder_inst/compExch_Layer_17_to_18_sites_43_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_43_51";
34648 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_43_51" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34649 
34650 
34651 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/M*" U_SET="uset_compExch_Layer_17_to_18_sites_44_52";
34652 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34653 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34654 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34655 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34656 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34657 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34658 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34659 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34660 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34661 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34662 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34663 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34664 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34665 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34666 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34667 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34668 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34669 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34670 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34671 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34672 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34673 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34674 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34675 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34676 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34677 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34678 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34679 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34680 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34681 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34682 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34683 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34684 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34685 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34686 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34687 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34688 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34689 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34690 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34691 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34692 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34693 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34694 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34695 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34696 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34697 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34698 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34699 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34700 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34701 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34702 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34703 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34704 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34705 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34706 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34707 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34708 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34709 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34710 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34711 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34712 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34713 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34714 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34715 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34716 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34717 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34718 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34719 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34720 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34721 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34722 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34723 
34724 #INST "decoder_inst/compExch_Layer_17_to_18_sites_44_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_44_52";
34725 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_44_52" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34726 
34727 
34728 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/M*" U_SET="uset_compExch_Layer_17_to_18_sites_45_53";
34729 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34730 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34731 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34732 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34733 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34734 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34735 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34736 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34737 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34738 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34739 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34740 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34741 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34742 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34743 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34744 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34745 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34746 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34747 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34748 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34749 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34750 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34751 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34752 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34753 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34754 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34755 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34756 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34757 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34758 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34759 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34760 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34761 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34762 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34763 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34764 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34765 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34766 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34767 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34768 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34769 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34770 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34771 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34772 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34773 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34774 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34775 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34776 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34777 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34778 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34779 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34780 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34781 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34782 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34783 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34784 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34785 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34786 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34787 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34788 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34789 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34790 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34791 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34792 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34793 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34794 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34795 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34796 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34797 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34798 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34799 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34800 
34801 #INST "decoder_inst/compExch_Layer_17_to_18_sites_45_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_45_53";
34802 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_45_53" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34803 
34804 
34805 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/M*" U_SET="uset_compExch_Layer_17_to_18_sites_46_54";
34806 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34807 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34808 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34809 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34810 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34811 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34812 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34813 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34814 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34815 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34816 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34817 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34818 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34819 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34820 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34821 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34822 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34823 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34824 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34825 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34826 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34827 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34828 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34829 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34830 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34831 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34832 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34833 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34834 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34835 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34836 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34837 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34838 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34839 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34840 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34841 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34842 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34843 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34844 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34845 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34846 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34847 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34848 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34849 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34850 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34851 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34852 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34853 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34854 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34855 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34856 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34857 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34858 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34859 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34860 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34861 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34862 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34863 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34864 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34865 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34866 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34867 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34868 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34869 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34870 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34871 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34872 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34873 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34874 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34875 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34876 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34877 
34878 #INST "decoder_inst/compExch_Layer_17_to_18_sites_46_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_46_54";
34879 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_46_54" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34880 
34881 
34882 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/M*" U_SET="uset_compExch_Layer_17_to_18_sites_47_55";
34883 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34884 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34885 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34886 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34887 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34888 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34889 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34890 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34891 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34892 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34893 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34894 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34895 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34896 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34897 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34898 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34899 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34900 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34901 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34902 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34903 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34904 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34905 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34906 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34907 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34908 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34909 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34910 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34911 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34912 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34913 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34914 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34915 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34916 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34917 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34918 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34919 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34920 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34921 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34922 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
34923 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
34924 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
34925 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
34926 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
34927 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
34928 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
34929 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
34930 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
34931 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
34932 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
34933 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
34934 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
34935 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
34936 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
34937 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
34938 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
34939 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
34940 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
34941 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
34942 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
34943 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
34944 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
34945 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
34946 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
34947 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
34948 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
34949 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
34950 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
34951 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
34952 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
34953 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
34954 
34955 #INST "decoder_inst/compExch_Layer_17_to_18_sites_47_55/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_17_to_18_sites_47_55";
34956 #AREA_GROUP "AREA_compExch_Layer_17_to_18_sites_47_55" RANGE=SLICE_X208Y2:SLICE_X217Y233;
34957 
34958 
34959 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/M*" U_SET="uset_compExch_Layer_18_to_19_sites_04_08";
34960 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
34961 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
34962 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
34963 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
34964 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
34965 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
34966 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
34967 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
34968 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
34969 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
34970 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
34971 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
34972 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
34973 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
34974 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
34975 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
34976 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
34977 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
34978 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
34979 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
34980 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
34981 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
34982 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
34983 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
34984 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
34985 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
34986 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
34987 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
34988 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
34989 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
34990 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
34991 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
34992 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
34993 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
34994 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
34995 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
34996 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
34997 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
34998 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
34999 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35000 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35001 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35002 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35003 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35004 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35005 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35006 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35007 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35008 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35009 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35010 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35011 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35012 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35013 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35014 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35015 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35016 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35017 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35018 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35019 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35020 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35021 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35022 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35023 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35024 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35025 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35026 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35027 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35028 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35029 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35030 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35031 
35032 INST "decoder_inst/compExch_Layer_18_to_19_sites_04_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_04_08";
35033 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_04_08" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35034 
35035 
35036 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/M*" U_SET="uset_compExch_Layer_18_to_19_sites_05_09";
35037 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35038 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35039 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35040 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35041 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35042 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35043 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35044 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35045 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35046 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35047 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35048 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35049 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35050 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35051 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35052 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35053 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35054 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35055 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35056 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35057 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35058 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35059 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35060 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35061 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35062 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35063 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35064 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35065 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35066 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35067 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35068 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35069 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35070 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35071 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35072 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35073 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35074 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35075 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35076 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35077 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35078 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35079 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35080 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35081 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35082 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35083 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35084 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35085 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35086 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35087 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35088 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35089 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35090 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35091 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35092 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35093 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35094 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35095 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35096 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35097 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35098 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35099 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35100 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35101 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35102 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35103 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35104 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35105 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35106 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35107 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35108 
35109 INST "decoder_inst/compExch_Layer_18_to_19_sites_05_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_05_09";
35110 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_05_09" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35111 
35112 
35113 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/M*" U_SET="uset_compExch_Layer_18_to_19_sites_06_10";
35114 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35115 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35116 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35117 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35118 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35119 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35120 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35121 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35122 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35123 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35124 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35125 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35126 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35127 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35128 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35129 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35130 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35131 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35132 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35133 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35134 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35135 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35136 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35137 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35138 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35139 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35140 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35141 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35142 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35143 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35144 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35145 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35146 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35147 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35148 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35149 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35150 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35151 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35152 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35153 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35154 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35155 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35156 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35157 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35158 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35159 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35160 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35161 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35162 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35163 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35164 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35165 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35166 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35167 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35168 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35169 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35170 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35171 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35172 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35173 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35174 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35175 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35176 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35177 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35178 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35179 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35180 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35181 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35182 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35183 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35184 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35185 
35186 INST "decoder_inst/compExch_Layer_18_to_19_sites_06_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_06_10";
35187 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_06_10" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35188 
35189 
35190 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/M*" U_SET="uset_compExch_Layer_18_to_19_sites_07_11";
35191 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35192 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35193 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35194 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35195 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35196 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35197 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35198 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35199 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35200 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35201 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35202 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35203 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35204 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35205 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35206 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35207 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35208 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35209 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35210 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35211 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35212 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35213 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35214 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35215 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35216 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35217 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35218 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35219 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35220 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35221 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35222 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35223 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35224 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35225 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35226 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35227 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35228 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35229 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35230 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35231 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35232 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35233 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35234 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35235 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35236 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35237 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35238 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35239 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35240 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35241 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35242 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35243 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35244 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35245 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35246 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35247 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35248 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35249 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35250 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35251 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35252 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35253 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35254 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35255 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35256 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35257 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35258 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35259 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35260 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35261 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35262 
35263 INST "decoder_inst/compExch_Layer_18_to_19_sites_07_11/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_07_11";
35264 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_07_11" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35265 
35266 
35267 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/M*" U_SET="uset_compExch_Layer_18_to_19_sites_12_16";
35268 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35269 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35270 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35271 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35272 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35273 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35274 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35275 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35276 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35277 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35278 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35279 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35280 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35281 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35282 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35283 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35284 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35285 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35286 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35287 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35288 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35289 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35290 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35291 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35292 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35293 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35294 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35295 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35296 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35297 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35298 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35299 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35300 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35301 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35302 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35303 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35304 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35305 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35306 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35307 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35308 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35309 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35310 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35311 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35312 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35313 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35314 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35315 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35316 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35317 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35318 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35319 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35320 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35321 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35322 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35323 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35324 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35325 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35326 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35327 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35328 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35329 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35330 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35331 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35332 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35333 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35334 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35335 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35336 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35337 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35338 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35339 
35340 INST "decoder_inst/compExch_Layer_18_to_19_sites_12_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_12_16";
35341 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_12_16" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35342 
35343 
35344 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/M*" U_SET="uset_compExch_Layer_18_to_19_sites_13_17";
35345 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35346 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35347 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35348 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35349 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35350 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35351 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35352 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35353 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35354 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35355 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35356 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35357 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35358 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35359 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35360 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35361 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35362 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35363 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35364 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35365 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35366 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35367 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35368 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35369 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35370 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35371 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35372 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35373 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35374 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35375 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35376 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35377 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35378 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35379 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35380 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35381 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35382 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35383 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35384 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35385 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35386 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35387 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35388 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35389 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35390 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35391 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35392 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35393 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35394 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35395 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35396 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35397 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35398 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35399 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35400 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35401 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35402 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35403 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35404 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35405 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35406 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35407 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35408 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35409 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35410 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35411 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35412 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35413 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35414 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35415 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35416 
35417 INST "decoder_inst/compExch_Layer_18_to_19_sites_13_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_13_17";
35418 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_13_17" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35419 
35420 
35421 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/M*" U_SET="uset_compExch_Layer_18_to_19_sites_14_18";
35422 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35423 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35424 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35425 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35426 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35427 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35428 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35429 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35430 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35431 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35432 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35433 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35434 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35435 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35436 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35437 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35438 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35439 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35440 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35441 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35442 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35443 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35444 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35445 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35446 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35447 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35448 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35449 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35450 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35451 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35452 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35453 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35454 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35455 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35456 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35457 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35458 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35459 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35460 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35461 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35462 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35463 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35464 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35465 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35466 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35467 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35468 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35469 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35470 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35471 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35472 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35473 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35474 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35475 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35476 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35477 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35478 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35479 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35480 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35481 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35482 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35483 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35484 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35485 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35486 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35487 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35488 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35489 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35490 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35491 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35492 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35493 
35494 INST "decoder_inst/compExch_Layer_18_to_19_sites_14_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_14_18";
35495 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_14_18" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35496 
35497 
35498 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/M*" U_SET="uset_compExch_Layer_18_to_19_sites_15_19";
35499 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35500 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35501 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35502 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35503 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35504 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35505 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35506 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35507 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35508 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35509 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35510 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35511 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35512 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35513 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35514 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35515 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35516 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35517 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35518 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35519 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35520 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35521 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35522 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35523 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35524 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35525 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35526 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35527 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35528 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35529 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35530 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35531 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35532 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35533 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35534 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35535 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35536 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35537 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35538 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35539 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35540 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35541 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35542 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35543 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35544 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35545 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35546 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35547 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35548 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35549 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35550 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35551 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35552 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35553 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35554 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35555 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35556 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35557 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35558 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35559 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35560 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35561 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35562 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35563 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35564 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35565 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35566 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35567 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35568 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35569 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35570 
35571 INST "decoder_inst/compExch_Layer_18_to_19_sites_15_19/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_15_19";
35572 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_15_19" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35573 
35574 
35575 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/M*" U_SET="uset_compExch_Layer_18_to_19_sites_20_24";
35576 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35577 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35578 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35579 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35580 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35581 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35582 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35583 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35584 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35585 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35586 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35587 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35588 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35589 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35590 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35591 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35592 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35593 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35594 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35595 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35596 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35597 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35598 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35599 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35600 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35601 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35602 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35603 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35604 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35605 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35606 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35607 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35608 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35609 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35610 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35611 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35612 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35613 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35614 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35615 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35616 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35617 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35618 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35619 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35620 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35621 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35622 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35623 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35624 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35625 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35626 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35627 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35628 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35629 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35630 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35631 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35632 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35633 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35634 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35635 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35636 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35637 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35638 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35639 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35640 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35641 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35642 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35643 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35644 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35645 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35646 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35647 
35648 INST "decoder_inst/compExch_Layer_18_to_19_sites_20_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_20_24";
35649 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_20_24" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35650 
35651 
35652 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/M*" U_SET="uset_compExch_Layer_18_to_19_sites_21_25";
35653 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35654 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35655 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35656 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35657 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35658 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35659 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35660 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35661 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35662 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35663 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35664 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35665 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35666 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35667 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35668 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35669 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35670 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35671 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35672 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35673 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35674 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35675 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35676 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35677 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35678 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35679 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35680 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35681 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35682 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35683 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35684 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35685 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35686 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35687 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35688 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35689 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35690 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35691 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35692 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35693 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35694 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35695 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35696 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35697 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35698 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35699 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35700 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35701 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35702 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35703 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35704 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35705 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35706 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35707 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35708 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35709 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35710 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35711 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35712 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35713 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35714 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35715 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35716 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35717 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35718 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35719 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35720 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35721 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35722 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35723 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35724 
35725 INST "decoder_inst/compExch_Layer_18_to_19_sites_21_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_21_25";
35726 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_21_25" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35727 
35728 
35729 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/M*" U_SET="uset_compExch_Layer_18_to_19_sites_22_26";
35730 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35731 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35732 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35733 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35734 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35735 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35736 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35737 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35738 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35739 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35740 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35741 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35742 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35743 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35744 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35745 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35746 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35747 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35748 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35749 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35750 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35751 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35752 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35753 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35754 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35755 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35756 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35757 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35758 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35759 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35760 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35761 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35762 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35763 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35764 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35765 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35766 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35767 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35768 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35769 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35770 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35771 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35772 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35773 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35774 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35775 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35776 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35777 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35778 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35779 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35780 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35781 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35782 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35783 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35784 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35785 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35786 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35787 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35788 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35789 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35790 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35791 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35792 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35793 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35794 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35795 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35796 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35797 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35798 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35799 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35800 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35801 
35802 INST "decoder_inst/compExch_Layer_18_to_19_sites_22_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_22_26";
35803 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_22_26" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35804 
35805 
35806 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/M*" U_SET="uset_compExch_Layer_18_to_19_sites_23_27";
35807 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35808 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35809 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35810 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35811 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35812 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35813 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35814 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35815 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35816 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35817 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35818 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35819 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35820 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35821 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35822 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35823 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35824 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35825 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35826 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35827 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35828 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35829 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35830 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35831 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35832 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35833 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35834 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35835 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35836 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35837 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35838 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35839 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35840 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35841 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35842 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35843 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35844 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35845 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35846 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35847 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35848 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35849 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35850 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35851 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35852 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35853 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35854 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35855 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35856 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35857 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35858 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35859 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35860 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35861 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35862 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35863 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35864 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35865 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35866 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35867 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35868 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35869 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35870 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35871 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35872 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35873 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35874 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35875 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35876 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35877 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35878 
35879 INST "decoder_inst/compExch_Layer_18_to_19_sites_23_27/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_23_27";
35880 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_23_27" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35881 
35882 
35883 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/M*" U_SET="uset_compExch_Layer_18_to_19_sites_28_32";
35884 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35885 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35886 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35887 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35888 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35889 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35890 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35891 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35892 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35893 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35894 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35895 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35896 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35897 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35898 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35899 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35900 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35901 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35902 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35903 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35904 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35905 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35906 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35907 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35908 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35909 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35910 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35911 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35912 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35913 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35914 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35915 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35916 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35917 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35918 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35919 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35920 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35921 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35922 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
35923 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
35924 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
35925 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
35926 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
35927 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
35928 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
35929 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
35930 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
35931 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
35932 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
35933 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
35934 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
35935 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
35936 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
35937 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
35938 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
35939 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
35940 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
35941 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
35942 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
35943 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
35944 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
35945 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
35946 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
35947 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
35948 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
35949 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
35950 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
35951 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
35952 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
35953 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
35954 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
35955 
35956 INST "decoder_inst/compExch_Layer_18_to_19_sites_28_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_28_32";
35957 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_28_32" RANGE=SLICE_X212Y2:SLICE_X221Y233;
35958 
35959 
35960 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/M*" U_SET="uset_compExch_Layer_18_to_19_sites_29_33";
35961 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
35962 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
35963 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
35964 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
35965 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
35966 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
35967 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
35968 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
35969 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
35970 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
35971 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
35972 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
35973 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
35974 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
35975 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
35976 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
35977 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
35978 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
35979 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
35980 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
35981 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
35982 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
35983 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
35984 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
35985 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
35986 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
35987 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
35988 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
35989 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
35990 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
35991 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
35992 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
35993 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
35994 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
35995 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
35996 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
35997 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
35998 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
35999 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36000 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36001 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36002 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36003 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36004 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36005 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36006 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36007 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36008 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36009 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36010 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36011 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36012 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36013 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36014 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36015 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36016 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36017 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36018 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36019 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36020 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36021 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36022 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36023 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36024 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36025 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36026 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36027 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36028 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36029 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36030 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36031 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36032 
36033 INST "decoder_inst/compExch_Layer_18_to_19_sites_29_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_29_33";
36034 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_29_33" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36035 
36036 
36037 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/M*" U_SET="uset_compExch_Layer_18_to_19_sites_30_34";
36038 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36039 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36040 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36041 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36042 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36043 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36044 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36045 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36046 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36047 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36048 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36049 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36050 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36051 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36052 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36053 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36054 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36055 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36056 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36057 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36058 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36059 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36060 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36061 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36062 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36063 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36064 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36065 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36066 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36067 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36068 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36069 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36070 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36071 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36072 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36073 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36074 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36075 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36076 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36077 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36078 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36079 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36080 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36081 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36082 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36083 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36084 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36085 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36086 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36087 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36088 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36089 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36090 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36091 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36092 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36093 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36094 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36095 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36096 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36097 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36098 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36099 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36100 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36101 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36102 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36103 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36104 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36105 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36106 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36107 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36108 #INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36109 
36110 INST "decoder_inst/compExch_Layer_18_to_19_sites_30_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_30_34";
36111 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_30_34" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36112 
36113 
36114 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/M*" U_SET="uset_compExch_Layer_18_to_19_sites_31_35";
36115 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36116 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36117 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36118 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36119 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36120 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36121 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36122 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36123 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36124 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36125 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36126 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36127 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36128 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36129 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36130 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36131 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36132 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36133 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36134 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36135 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36136 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36137 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36138 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36139 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36140 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36141 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36142 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36143 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36144 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36145 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36146 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36147 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36148 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36149 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36150 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36151 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36152 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36153 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36154 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36155 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36156 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36157 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36158 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36159 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36160 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36161 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36162 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36163 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36164 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36165 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36166 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36167 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36168 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36169 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36170 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36171 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36172 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36173 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36174 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36175 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36176 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36177 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36178 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36179 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36180 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36181 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36182 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36183 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36184 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36185 #INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36186 
36187 INST "decoder_inst/compExch_Layer_18_to_19_sites_31_35/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_31_35";
36188 AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_31_35" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36189 
36190 
36191 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/M*" U_SET="uset_compExch_Layer_18_to_19_sites_36_40";
36192 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36193 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36194 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36195 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36196 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36197 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36198 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36199 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36200 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36201 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36202 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36203 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36204 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36205 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36206 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36207 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36208 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36209 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36210 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36211 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36212 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36213 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36214 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36215 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36216 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36217 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36218 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36219 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36220 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36221 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36222 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36223 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36224 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36225 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36226 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36227 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36228 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36229 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36230 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36231 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36232 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36233 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36234 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36235 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36236 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36237 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36238 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36239 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36240 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36241 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36242 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36243 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36244 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36245 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36246 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36247 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36248 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36249 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36250 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36251 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36252 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36253 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36254 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36255 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36256 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36257 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36258 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36259 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36260 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36261 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36262 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36263 
36264 #INST "decoder_inst/compExch_Layer_18_to_19_sites_36_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_36_40";
36265 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_36_40" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36266 
36267 
36268 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/M*" U_SET="uset_compExch_Layer_18_to_19_sites_37_41";
36269 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36270 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36271 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36272 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36273 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36274 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36275 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36276 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36277 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36278 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36279 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36280 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36281 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36282 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36283 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36284 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36285 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36286 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36287 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36288 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36289 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36290 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36291 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36292 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36293 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36294 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36295 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36296 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36297 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36298 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36299 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36300 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36301 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36302 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36303 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36304 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36305 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36306 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36307 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36308 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36309 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36310 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36311 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36312 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36313 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36314 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36315 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36316 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36317 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36318 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36319 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36320 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36321 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36322 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36323 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36324 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36325 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36326 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36327 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36328 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36329 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36330 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36331 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36332 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36333 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36334 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36335 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36336 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36337 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36338 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36339 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36340 
36341 #INST "decoder_inst/compExch_Layer_18_to_19_sites_37_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_37_41";
36342 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_37_41" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36343 
36344 
36345 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/M*" U_SET="uset_compExch_Layer_18_to_19_sites_38_42";
36346 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36347 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36348 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36349 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36350 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36351 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36352 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36353 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36354 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36355 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36356 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36357 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36358 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36359 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36360 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36361 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36362 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36363 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36364 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36365 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36366 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36367 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36368 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36369 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36370 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36371 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36372 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36373 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36374 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36375 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36376 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36377 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36378 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36379 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36380 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36381 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36382 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36383 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36384 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36385 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36386 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36387 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36388 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36389 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36390 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36391 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36392 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36393 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36394 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36395 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36396 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36397 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36398 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36399 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36400 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36401 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36402 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36403 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36404 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36405 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36406 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36407 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36408 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36409 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36410 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36411 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36412 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36413 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36414 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36415 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36416 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36417 
36418 #INST "decoder_inst/compExch_Layer_18_to_19_sites_38_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_38_42";
36419 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_38_42" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36420 
36421 
36422 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/M*" U_SET="uset_compExch_Layer_18_to_19_sites_39_43";
36423 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36424 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36425 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36426 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36427 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36428 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36429 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36430 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36431 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36432 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36433 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36434 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36435 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36436 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36437 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36438 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36439 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36440 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36441 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36442 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36443 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36444 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36445 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36446 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36447 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36448 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36449 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36450 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36451 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36452 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36453 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36454 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36455 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36456 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36457 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36458 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36459 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36460 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36461 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36462 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36463 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36464 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36465 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36466 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36467 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36468 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36469 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36470 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36471 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36472 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36473 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36474 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36475 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36476 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36477 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36478 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36479 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36480 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36481 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36482 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36483 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36484 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36485 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36486 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36487 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36488 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36489 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36490 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36491 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36492 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36493 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36494 
36495 #INST "decoder_inst/compExch_Layer_18_to_19_sites_39_43/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_39_43";
36496 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_39_43" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36497 
36498 
36499 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/M*" U_SET="uset_compExch_Layer_18_to_19_sites_44_48";
36500 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36501 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36502 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36503 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36504 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36505 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36506 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36507 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36508 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36509 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36510 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36511 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36512 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36513 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36514 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36515 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36516 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36517 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36518 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36519 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36520 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36521 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36522 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36523 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36524 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36525 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36526 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36527 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36528 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36529 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36530 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36531 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36532 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36533 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36534 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36535 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36536 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36537 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36538 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36539 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36540 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36541 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36542 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36543 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36544 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36545 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36546 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36547 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36548 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36549 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36550 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36551 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36552 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36553 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36554 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36555 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36556 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36557 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36558 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36559 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36560 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36561 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36562 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36563 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36564 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36565 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36566 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36567 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36568 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36569 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36570 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36571 
36572 #INST "decoder_inst/compExch_Layer_18_to_19_sites_44_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_44_48";
36573 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_44_48" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36574 
36575 
36576 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/M*" U_SET="uset_compExch_Layer_18_to_19_sites_45_49";
36577 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36578 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36579 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36580 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36581 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36582 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36583 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36584 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36585 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36586 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36587 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36588 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36589 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36590 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36591 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36592 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36593 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36594 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36595 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36596 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36597 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36598 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36599 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36600 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36601 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36602 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36603 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36604 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36605 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36606 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36607 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36608 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36609 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36610 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36611 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36612 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36613 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36614 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36615 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36616 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36617 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36618 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36619 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36620 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36621 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36622 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36623 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36624 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36625 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36626 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36627 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36628 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36629 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36630 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36631 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36632 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36633 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36634 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36635 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36636 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36637 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36638 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36639 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36640 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36641 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36642 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36643 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36644 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36645 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36646 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36647 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36648 
36649 #INST "decoder_inst/compExch_Layer_18_to_19_sites_45_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_45_49";
36650 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_45_49" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36651 
36652 
36653 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/M*" U_SET="uset_compExch_Layer_18_to_19_sites_46_50";
36654 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36655 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36656 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36657 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36658 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36659 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36660 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36661 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36662 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36663 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36664 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36665 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36666 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36667 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36668 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36669 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36670 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36671 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36672 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36673 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36674 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36675 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36676 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36677 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36678 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36679 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36680 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36681 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36682 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36683 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36684 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36685 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36686 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36687 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36688 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36689 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36690 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36691 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36692 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36693 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36694 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36695 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36696 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36697 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36698 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36699 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36700 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36701 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36702 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36703 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36704 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36705 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36706 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36707 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36708 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36709 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36710 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36711 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36712 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36713 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36714 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36715 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36716 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36717 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36718 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36719 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36720 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36721 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36722 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36723 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36724 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36725 
36726 #INST "decoder_inst/compExch_Layer_18_to_19_sites_46_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_46_50";
36727 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_46_50" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36728 
36729 
36730 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/M*" U_SET="uset_compExch_Layer_18_to_19_sites_47_51";
36731 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36732 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36733 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36734 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36735 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36736 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36737 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36738 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36739 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36740 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36741 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36742 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36743 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36744 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36745 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36746 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36747 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36748 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36749 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36750 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36751 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36752 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36753 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36754 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36755 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36756 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36757 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36758 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36759 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36760 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36761 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36762 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36763 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36764 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36765 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36766 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36767 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36768 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36769 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36770 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36771 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36772 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36773 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36774 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36775 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36776 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36777 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36778 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36779 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36780 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36781 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36782 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36783 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36784 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36785 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36786 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36787 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36788 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36789 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36790 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36791 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36792 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36793 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36794 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36795 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36796 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36797 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36798 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36799 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36800 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36801 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36802 
36803 #INST "decoder_inst/compExch_Layer_18_to_19_sites_47_51/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_47_51";
36804 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_47_51" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36805 
36806 
36807 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/M*" U_SET="uset_compExch_Layer_18_to_19_sites_52_56";
36808 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36809 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36810 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36811 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36812 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36813 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36814 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36815 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36816 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36817 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36818 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36819 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36820 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36821 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36822 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36823 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36824 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36825 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36826 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36827 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36828 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36829 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36830 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36831 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36832 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36833 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36834 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36835 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36836 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36837 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36838 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36839 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36840 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36841 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36842 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36843 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36844 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36845 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36846 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36847 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36848 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36849 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36850 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36851 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36852 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36853 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36854 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36855 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36856 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36857 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36858 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36859 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36860 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36861 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36862 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36863 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36864 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36865 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36866 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36867 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36868 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36869 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36870 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36871 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36872 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36873 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36874 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36875 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36876 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36877 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36878 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36879 
36880 #INST "decoder_inst/compExch_Layer_18_to_19_sites_52_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_52_56";
36881 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_52_56" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36882 
36883 
36884 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/M*" U_SET="uset_compExch_Layer_18_to_19_sites_53_57";
36885 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36886 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36887 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36888 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36889 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36890 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36891 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36892 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36893 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36894 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36895 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36896 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36897 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36898 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36899 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36900 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36901 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36902 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36903 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36904 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36905 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36906 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36907 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36908 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36909 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36910 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36911 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36912 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36913 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36914 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36915 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36916 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36917 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36918 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36919 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36920 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36921 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36922 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
36923 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
36924 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
36925 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
36926 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
36927 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
36928 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
36929 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
36930 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
36931 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
36932 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
36933 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
36934 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
36935 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
36936 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
36937 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
36938 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
36939 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
36940 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
36941 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
36942 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
36943 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
36944 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
36945 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
36946 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
36947 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
36948 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
36949 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
36950 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
36951 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
36952 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
36953 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
36954 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
36955 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
36956 
36957 #INST "decoder_inst/compExch_Layer_18_to_19_sites_53_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_53_57";
36958 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_53_57" RANGE=SLICE_X212Y2:SLICE_X221Y233;
36959 
36960 
36961 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/M*" U_SET="uset_compExch_Layer_18_to_19_sites_54_58";
36962 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
36963 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
36964 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
36965 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
36966 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
36967 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
36968 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
36969 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
36970 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
36971 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
36972 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
36973 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
36974 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
36975 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
36976 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
36977 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
36978 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
36979 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
36980 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
36981 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
36982 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
36983 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
36984 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
36985 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
36986 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
36987 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
36988 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
36989 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
36990 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
36991 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
36992 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
36993 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
36994 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
36995 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
36996 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
36997 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
36998 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
36999 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37000 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37001 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37002 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37003 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37004 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37005 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37006 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37007 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37008 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37009 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37010 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37011 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37012 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37013 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37014 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37015 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37016 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37017 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37018 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37019 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37020 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37021 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37022 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37023 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37024 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37025 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37026 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37027 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37028 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37029 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37030 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37031 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37032 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37033 
37034 #INST "decoder_inst/compExch_Layer_18_to_19_sites_54_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_54_58";
37035 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_54_58" RANGE=SLICE_X212Y2:SLICE_X221Y233;
37036 
37037 
37038 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/M*" U_SET="uset_compExch_Layer_18_to_19_sites_55_59";
37039 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37040 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37041 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37042 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37043 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37044 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37045 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37046 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37047 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37048 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37049 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37050 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37051 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37052 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37053 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37054 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37055 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37056 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37057 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37058 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37059 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37060 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37061 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37062 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37063 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37064 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37065 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37066 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37067 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37068 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37069 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37070 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37071 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37072 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37073 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37074 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37075 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37076 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37077 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37078 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37079 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37080 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37081 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37082 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37083 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37084 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37085 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37086 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37087 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37088 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37089 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37090 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37091 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37092 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37093 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37094 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37095 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37096 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37097 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37098 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37099 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37100 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37101 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37102 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37103 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37104 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37105 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37106 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37107 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37108 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37109 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37110 
37111 #INST "decoder_inst/compExch_Layer_18_to_19_sites_55_59/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_18_to_19_sites_55_59";
37112 #AREA_GROUP "AREA_compExch_Layer_18_to_19_sites_55_59" RANGE=SLICE_X212Y2:SLICE_X221Y233;
37113 
37114 
37115 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/M*" U_SET="uset_compExch_Layer_19_to_20_sites_02_04";
37116 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37117 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37118 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37119 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37120 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37121 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37122 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37123 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37124 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37125 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37126 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37127 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37128 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37129 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37130 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37131 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37132 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37133 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37134 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37135 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37136 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37137 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37138 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37139 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37140 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37141 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37142 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37143 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37144 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37145 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37146 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37147 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37148 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37149 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37150 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37151 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37152 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37153 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37154 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37155 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37156 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37157 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37158 #INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37159 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37160 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37161 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37162 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37163 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37164 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37165 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37166 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37167 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37168 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37169 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37170 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37171 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37172 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37173 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37174 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37175 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37176 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37177 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37178 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37179 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37180 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37181 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37182 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37183 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37184 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37185 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37186 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37187 
37188 INST "decoder_inst/compExch_Layer_19_to_20_sites_02_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_02_04";
37189 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_02_04" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37190 
37191 
37192 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/M*" U_SET="uset_compExch_Layer_19_to_20_sites_03_05";
37193 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37194 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37195 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37196 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37197 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37198 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37199 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37200 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37201 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37202 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37203 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37204 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37205 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37206 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37207 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37208 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37209 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37210 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37211 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37212 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37213 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37214 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37215 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37216 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37217 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37218 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37219 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37220 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37221 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37222 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37223 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37224 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37225 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37226 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37227 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37228 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37229 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37230 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37231 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37232 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37233 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37234 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37235 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37236 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37237 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37238 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37239 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37240 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37241 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37242 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37243 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37244 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37245 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37246 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37247 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37248 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37249 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37250 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37251 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37252 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37253 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37254 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37255 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37256 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37257 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37258 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37259 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37260 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37261 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37262 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37263 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37264 
37265 INST "decoder_inst/compExch_Layer_19_to_20_sites_03_05/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_03_05";
37266 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_03_05" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37267 
37268 
37269 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/M*" U_SET="uset_compExch_Layer_19_to_20_sites_06_08";
37270 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37271 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37272 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37273 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37274 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37275 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37276 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37277 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37278 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37279 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37280 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37281 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37282 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37283 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37284 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37285 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37286 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37287 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37288 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37289 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37290 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37291 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37292 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37293 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37294 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37295 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37296 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37297 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37298 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37299 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37300 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37301 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37302 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37303 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37304 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37305 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37306 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37307 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37308 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37309 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37310 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37311 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37312 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37313 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37314 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37315 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37316 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37317 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37318 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37319 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37320 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37321 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37322 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37323 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37324 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37325 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37326 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37327 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37328 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37329 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37330 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37331 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37332 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37333 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37334 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37335 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37336 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37337 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37338 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37339 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37340 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37341 
37342 INST "decoder_inst/compExch_Layer_19_to_20_sites_06_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_06_08";
37343 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_06_08" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37344 
37345 
37346 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/M*" U_SET="uset_compExch_Layer_19_to_20_sites_07_09";
37347 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37348 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37349 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37350 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37351 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37352 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37353 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37354 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37355 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37356 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37357 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37358 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37359 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37360 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37361 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37362 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37363 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37364 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37365 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37366 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37367 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37368 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37369 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37370 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37371 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37372 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37373 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37374 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37375 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37376 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37377 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37378 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37379 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37380 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37381 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37382 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37383 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37384 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37385 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37386 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37387 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37388 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37389 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37390 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37391 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37392 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37393 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37394 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37395 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37396 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37397 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37398 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37399 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37400 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37401 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37402 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37403 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37404 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37405 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37406 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37407 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37408 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37409 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37410 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37411 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37412 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37413 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37414 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37415 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37416 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37417 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37418 
37419 INST "decoder_inst/compExch_Layer_19_to_20_sites_07_09/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_07_09";
37420 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_07_09" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37421 
37422 
37423 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/M*" U_SET="uset_compExch_Layer_19_to_20_sites_10_12";
37424 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37425 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37426 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37427 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37428 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37429 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37430 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37431 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37432 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37433 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37434 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37435 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37436 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37437 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37438 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37439 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37440 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37441 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37442 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37443 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37444 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37445 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37446 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37447 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37448 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37449 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37450 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37451 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37452 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37453 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37454 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37455 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37456 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37457 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37458 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37459 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37460 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37461 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37462 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37463 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37464 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37465 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37466 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37467 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37468 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37469 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37470 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37471 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37472 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37473 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37474 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37475 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37476 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37477 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37478 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37479 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37480 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37481 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37482 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37483 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37484 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37485 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37486 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37487 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37488 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37489 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37490 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37491 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37492 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37493 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37494 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37495 
37496 INST "decoder_inst/compExch_Layer_19_to_20_sites_10_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_10_12";
37497 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_10_12" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37498 
37499 
37500 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/M*" U_SET="uset_compExch_Layer_19_to_20_sites_11_13";
37501 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37502 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37503 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37504 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37505 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37506 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37507 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37508 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37509 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37510 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37511 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37512 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37513 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37514 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37515 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37516 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37517 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37518 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37519 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37520 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37521 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37522 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37523 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37524 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37525 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37526 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37527 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37528 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37529 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37530 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37531 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37532 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37533 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37534 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37535 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37536 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37537 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37538 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37539 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37540 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37541 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37542 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37543 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37544 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37545 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37546 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37547 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37548 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37549 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37550 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37551 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37552 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37553 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37554 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37555 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37556 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37557 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37558 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37559 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37560 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37561 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37562 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37563 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37564 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37565 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37566 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37567 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37568 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37569 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37570 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37571 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37572 
37573 INST "decoder_inst/compExch_Layer_19_to_20_sites_11_13/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_11_13";
37574 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_11_13" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37575 
37576 
37577 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/M*" U_SET="uset_compExch_Layer_19_to_20_sites_14_16";
37578 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37579 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37580 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37581 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37582 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37583 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37584 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37585 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37586 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37587 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37588 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37589 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37590 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37591 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37592 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37593 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37594 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37595 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37596 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37597 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37598 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37599 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37600 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37601 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37602 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37603 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37604 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37605 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37606 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37607 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37608 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37609 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37610 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37611 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37612 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37613 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37614 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37615 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37616 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37617 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37618 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37619 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37620 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37621 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37622 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37623 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37624 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37625 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37626 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37627 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37628 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37629 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37630 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37631 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37632 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37633 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37634 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37635 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37636 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37637 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37638 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37639 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37640 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37641 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37642 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37643 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37644 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37645 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37646 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37647 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37648 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37649 
37650 INST "decoder_inst/compExch_Layer_19_to_20_sites_14_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_14_16";
37651 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_14_16" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37652 
37653 
37654 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/M*" U_SET="uset_compExch_Layer_19_to_20_sites_15_17";
37655 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37656 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37657 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37658 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37659 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37660 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37661 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37662 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37663 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37664 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37665 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37666 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37667 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37668 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37669 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37670 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37671 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37672 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37673 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37674 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37675 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37676 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37677 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37678 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37679 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37680 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37681 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37682 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37683 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37684 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37685 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37686 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37687 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37688 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37689 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37690 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37691 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37692 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37693 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37694 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37695 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37696 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37697 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37698 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37699 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37700 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37701 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37702 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37703 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37704 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37705 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37706 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37707 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37708 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37709 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37710 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37711 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37712 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37713 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37714 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37715 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37716 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37717 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37718 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37719 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37720 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37721 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37722 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37723 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37724 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37725 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37726 
37727 INST "decoder_inst/compExch_Layer_19_to_20_sites_15_17/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_15_17";
37728 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_15_17" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37729 
37730 
37731 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/M*" U_SET="uset_compExch_Layer_19_to_20_sites_18_20";
37732 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37733 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37734 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37735 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37736 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37737 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37738 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37739 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37740 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37741 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37742 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37743 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37744 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37745 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37746 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37747 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37748 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37749 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37750 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37751 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37752 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37753 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37754 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37755 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37756 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37757 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37758 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37759 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37760 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37761 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37762 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37763 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37764 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37765 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37766 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37767 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37768 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37769 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37770 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37771 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37772 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37773 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37774 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37775 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37776 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37777 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37778 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37779 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37780 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37781 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37782 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37783 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37784 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37785 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37786 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37787 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37788 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37789 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37790 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37791 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37792 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37793 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37794 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37795 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37796 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37797 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37798 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37799 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37800 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37801 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37802 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37803 
37804 INST "decoder_inst/compExch_Layer_19_to_20_sites_18_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_18_20";
37805 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_18_20" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37806 
37807 
37808 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/M*" U_SET="uset_compExch_Layer_19_to_20_sites_19_21";
37809 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37810 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37811 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37812 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37813 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37814 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37815 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37816 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37817 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37818 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37819 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37820 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37821 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37822 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37823 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37824 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37825 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37826 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37827 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37828 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37829 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37830 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37831 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37832 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37833 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37834 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37835 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37836 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37837 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37838 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37839 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37840 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37841 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37842 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37843 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37844 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37845 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37846 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37847 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37848 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37849 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37850 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37851 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37852 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37853 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37854 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37855 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37856 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37857 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37858 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37859 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37860 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37861 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37862 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37863 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37864 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37865 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37866 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37867 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37868 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37869 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37870 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37871 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37872 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37873 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37874 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37875 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37876 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37877 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37878 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37879 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37880 
37881 INST "decoder_inst/compExch_Layer_19_to_20_sites_19_21/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_19_21";
37882 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_19_21" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37883 
37884 
37885 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/M*" U_SET="uset_compExch_Layer_19_to_20_sites_22_24";
37886 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37887 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37888 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37889 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37890 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37891 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37892 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37893 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37894 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37895 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37896 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37897 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37898 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37899 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37900 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37901 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37902 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37903 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37904 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37905 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37906 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37907 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37908 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37909 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37910 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37911 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37912 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37913 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37914 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37915 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37916 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37917 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37918 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37919 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37920 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37921 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37922 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
37923 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
37924 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
37925 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
37926 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
37927 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
37928 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
37929 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
37930 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
37931 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
37932 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
37933 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
37934 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
37935 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
37936 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
37937 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
37938 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
37939 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
37940 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
37941 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
37942 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
37943 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
37944 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
37945 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
37946 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
37947 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
37948 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
37949 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
37950 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
37951 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
37952 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
37953 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
37954 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
37955 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
37956 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
37957 
37958 INST "decoder_inst/compExch_Layer_19_to_20_sites_22_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_22_24";
37959 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_22_24" RANGE=SLICE_X216Y2:SLICE_X225Y233;
37960 
37961 
37962 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/M*" U_SET="uset_compExch_Layer_19_to_20_sites_23_25";
37963 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
37964 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
37965 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
37966 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
37967 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
37968 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
37969 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
37970 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
37971 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
37972 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
37973 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
37974 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
37975 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
37976 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
37977 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
37978 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
37979 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
37980 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
37981 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
37982 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
37983 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
37984 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
37985 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
37986 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
37987 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
37988 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
37989 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
37990 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
37991 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
37992 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
37993 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
37994 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
37995 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
37996 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
37997 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
37998 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
37999 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38000 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38001 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38002 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38003 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38004 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38005 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38006 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38007 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38008 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38009 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38010 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38011 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38012 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38013 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38014 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38015 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38016 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38017 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38018 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38019 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38020 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38021 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38022 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38023 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38024 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38025 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38026 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38027 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38028 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38029 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38030 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38031 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38032 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38033 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38034 
38035 INST "decoder_inst/compExch_Layer_19_to_20_sites_23_25/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_23_25";
38036 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_23_25" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38037 
38038 
38039 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/M*" U_SET="uset_compExch_Layer_19_to_20_sites_26_28";
38040 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38041 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38042 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38043 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38044 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38045 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38046 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38047 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38048 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38049 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38050 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38051 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38052 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38053 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38054 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38055 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38056 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38057 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38058 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38059 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38060 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38061 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38062 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38063 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38064 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38065 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38066 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38067 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38068 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38069 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38070 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38071 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38072 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38073 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38074 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38075 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38076 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38077 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38078 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38079 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38080 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38081 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38082 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38083 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38084 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38085 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38086 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38087 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38088 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38089 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38090 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38091 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38092 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38093 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38094 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38095 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38096 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38097 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38098 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38099 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38100 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38101 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38102 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38103 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38104 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38105 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38106 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38107 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38108 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38109 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38110 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38111 
38112 INST "decoder_inst/compExch_Layer_19_to_20_sites_26_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_26_28";
38113 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_26_28" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38114 
38115 
38116 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/M*" U_SET="uset_compExch_Layer_19_to_20_sites_27_29";
38117 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38118 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38119 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38120 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38121 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38122 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38123 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38124 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38125 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38126 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38127 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38128 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38129 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38130 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38131 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38132 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38133 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38134 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38135 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38136 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38137 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38138 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38139 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38140 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38141 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38142 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38143 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38144 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38145 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38146 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38147 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38148 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38149 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38150 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38151 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38152 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38153 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38154 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38155 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38156 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38157 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38158 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38159 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38160 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38161 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38162 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38163 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38164 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38165 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38166 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38167 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38168 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38169 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38170 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38171 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38172 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38173 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38174 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38175 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38176 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38177 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38178 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38179 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38180 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38181 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38182 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38183 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38184 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38185 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38186 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38187 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38188 
38189 INST "decoder_inst/compExch_Layer_19_to_20_sites_27_29/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_27_29";
38190 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_27_29" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38191 
38192 
38193 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/M*" U_SET="uset_compExch_Layer_19_to_20_sites_30_32";
38194 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38195 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38196 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38197 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38198 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38199 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38200 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38201 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38202 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38203 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38204 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38205 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38206 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38207 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38208 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38209 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38210 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38211 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38212 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38213 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38214 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38215 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38216 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38217 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38218 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38219 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38220 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38221 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38222 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38223 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38224 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38225 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38226 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38227 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38228 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38229 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38230 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38231 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38232 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38233 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38234 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38235 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38236 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38237 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38238 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38239 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38240 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38241 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38242 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38243 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38244 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38245 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38246 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38247 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38248 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38249 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38250 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38251 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38252 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38253 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38254 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38255 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38256 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38257 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38258 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38259 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38260 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38261 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38262 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38263 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38264 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38265 
38266 INST "decoder_inst/compExch_Layer_19_to_20_sites_30_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_30_32";
38267 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_30_32" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38268 
38269 
38270 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/M*" U_SET="uset_compExch_Layer_19_to_20_sites_31_33";
38271 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38272 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38273 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38274 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38275 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38276 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38277 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38278 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38279 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38280 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38281 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38282 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38283 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38284 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38285 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38286 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38287 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38288 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38289 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38290 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38291 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38292 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38293 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38294 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38295 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38296 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38297 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38298 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38299 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38300 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38301 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38302 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38303 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38304 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38305 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38306 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38307 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38308 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38309 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38310 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38311 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38312 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38313 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38314 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38315 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38316 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38317 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38318 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38319 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38320 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38321 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38322 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38323 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38324 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38325 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38326 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38327 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38328 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38329 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38330 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38331 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38332 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38333 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38334 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38335 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38336 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38337 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38338 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38339 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38340 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38341 #INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38342 
38343 INST "decoder_inst/compExch_Layer_19_to_20_sites_31_33/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_31_33";
38344 AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_31_33" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38345 
38346 
38347 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/M*" U_SET="uset_compExch_Layer_19_to_20_sites_34_36";
38348 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38349 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38350 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38351 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38352 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38353 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38354 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38355 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38356 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38357 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38358 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38359 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38360 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38361 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38362 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38363 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38364 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38365 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38366 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38367 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38368 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38369 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38370 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38371 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38372 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38373 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38374 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38375 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38376 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38377 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38378 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38379 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38380 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38381 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38382 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38383 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38384 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38385 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38386 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38387 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38388 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38389 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38390 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38391 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38392 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38393 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38394 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38395 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38396 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38397 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38398 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38399 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38400 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38401 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38402 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38403 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38404 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38405 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38406 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38407 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38408 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38409 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38410 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38411 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38412 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38413 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38414 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38415 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38416 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38417 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38418 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38419 
38420 #INST "decoder_inst/compExch_Layer_19_to_20_sites_34_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_34_36";
38421 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_34_36" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38422 
38423 
38424 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/M*" U_SET="uset_compExch_Layer_19_to_20_sites_35_37";
38425 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38426 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38427 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38428 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38429 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38430 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38431 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38432 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38433 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38434 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38435 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38436 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38437 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38438 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38439 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38440 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38441 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38442 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38443 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38444 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38445 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38446 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38447 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38448 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38449 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38450 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38451 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38452 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38453 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38454 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38455 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38456 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38457 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38458 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38459 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38460 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38461 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38462 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38463 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38464 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38465 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38466 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38467 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38468 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38469 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38470 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38471 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38472 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38473 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38474 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38475 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38476 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38477 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38478 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38479 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38480 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38481 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38482 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38483 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38484 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38485 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38486 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38487 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38488 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38489 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38490 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38491 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38492 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38493 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38494 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38495 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38496 
38497 #INST "decoder_inst/compExch_Layer_19_to_20_sites_35_37/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_35_37";
38498 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_35_37" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38499 
38500 
38501 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/M*" U_SET="uset_compExch_Layer_19_to_20_sites_38_40";
38502 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38503 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38504 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38505 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38506 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38507 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38508 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38509 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38510 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38511 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38512 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38513 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38514 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38515 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38516 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38517 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38518 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38519 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38520 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38521 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38522 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38523 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38524 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38525 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38526 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38527 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38528 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38529 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38530 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38531 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38532 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38533 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38534 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38535 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38536 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38537 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38538 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38539 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38540 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38541 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38542 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38543 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38544 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38545 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38546 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38547 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38548 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38549 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38550 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38551 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38552 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38553 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38554 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38555 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38556 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38557 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38558 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38559 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38560 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38561 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38562 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38563 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38564 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38565 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38566 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38567 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38568 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38569 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38570 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38571 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38572 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38573 
38574 #INST "decoder_inst/compExch_Layer_19_to_20_sites_38_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_38_40";
38575 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_38_40" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38576 
38577 
38578 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/M*" U_SET="uset_compExch_Layer_19_to_20_sites_39_41";
38579 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38580 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38581 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38582 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38583 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38584 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38585 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38586 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38587 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38588 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38589 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38590 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38591 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38592 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38593 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38594 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38595 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38596 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38597 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38598 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38599 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38600 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38601 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38602 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38603 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38604 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38605 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38606 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38607 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38608 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38609 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38610 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38611 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38612 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38613 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38614 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38615 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38616 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38617 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38618 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38619 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38620 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38621 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38622 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38623 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38624 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38625 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38626 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38627 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38628 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38629 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38630 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38631 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38632 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38633 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38634 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38635 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38636 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38637 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38638 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38639 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38640 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38641 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38642 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38643 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38644 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38645 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38646 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38647 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38648 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38649 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38650 
38651 #INST "decoder_inst/compExch_Layer_19_to_20_sites_39_41/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_39_41";
38652 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_39_41" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38653 
38654 
38655 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/M*" U_SET="uset_compExch_Layer_19_to_20_sites_42_44";
38656 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38657 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38658 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38659 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38660 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38661 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38662 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38663 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38664 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38665 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38666 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38667 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38668 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38669 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38670 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38671 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38672 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38673 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38674 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38675 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38676 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38677 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38678 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38679 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38680 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38681 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38682 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38683 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38684 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38685 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38686 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38687 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38688 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38689 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38690 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38691 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38692 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38693 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38694 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38695 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38696 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38697 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38698 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38699 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38700 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38701 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38702 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38703 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38704 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38705 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38706 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38707 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38708 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38709 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38710 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38711 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38712 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38713 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38714 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38715 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38716 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38717 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38718 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38719 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38720 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38721 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38722 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38723 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38724 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38725 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38726 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38727 
38728 #INST "decoder_inst/compExch_Layer_19_to_20_sites_42_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_42_44";
38729 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_42_44" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38730 
38731 
38732 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/M*" U_SET="uset_compExch_Layer_19_to_20_sites_43_45";
38733 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38734 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38735 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38736 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38737 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38738 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38739 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38740 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38741 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38742 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38743 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38744 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38745 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38746 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38747 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38748 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38749 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38750 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38751 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38752 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38753 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38754 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38755 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38756 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38757 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38758 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38759 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38760 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38761 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38762 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38763 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38764 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38765 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38766 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38767 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38768 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38769 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38770 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38771 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38772 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38773 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38774 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38775 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38776 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38777 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38778 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38779 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38780 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38781 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38782 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38783 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38784 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38785 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38786 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38787 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38788 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38789 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38790 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38791 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38792 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38793 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38794 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38795 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38796 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38797 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38798 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38799 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38800 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38801 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38802 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38803 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38804 
38805 #INST "decoder_inst/compExch_Layer_19_to_20_sites_43_45/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_43_45";
38806 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_43_45" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38807 
38808 
38809 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/M*" U_SET="uset_compExch_Layer_19_to_20_sites_46_48";
38810 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38811 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38812 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38813 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38814 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38815 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38816 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38817 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38818 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38819 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38820 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38821 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38822 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38823 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38824 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38825 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38826 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38827 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38828 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38829 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38830 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38831 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38832 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38833 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38834 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38835 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38836 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38837 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38838 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38839 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38840 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38841 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38842 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38843 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38844 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38845 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38846 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38847 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38848 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38849 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38850 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38851 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38852 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38853 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38854 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38855 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38856 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38857 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38858 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38859 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38860 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38861 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38862 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38863 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38864 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38865 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38866 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38867 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38868 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38869 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38870 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38871 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38872 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38873 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38874 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38875 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38876 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38877 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38878 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38879 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38880 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38881 
38882 #INST "decoder_inst/compExch_Layer_19_to_20_sites_46_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_46_48";
38883 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_46_48" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38884 
38885 
38886 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/M*" U_SET="uset_compExch_Layer_19_to_20_sites_47_49";
38887 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38888 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38889 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38890 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38891 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38892 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38893 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38894 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38895 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38896 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38897 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38898 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38899 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38900 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38901 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38902 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38903 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38904 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38905 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38906 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38907 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38908 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38909 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38910 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38911 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38912 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38913 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38914 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38915 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38916 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38917 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38918 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38919 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38920 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38921 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38922 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
38923 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
38924 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
38925 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
38926 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
38927 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
38928 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
38929 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
38930 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
38931 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
38932 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
38933 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
38934 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
38935 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
38936 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
38937 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
38938 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
38939 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
38940 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
38941 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
38942 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
38943 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
38944 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
38945 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
38946 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
38947 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
38948 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
38949 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
38950 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
38951 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
38952 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
38953 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
38954 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
38955 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
38956 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
38957 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
38958 
38959 #INST "decoder_inst/compExch_Layer_19_to_20_sites_47_49/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_47_49";
38960 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_47_49" RANGE=SLICE_X216Y2:SLICE_X225Y233;
38961 
38962 
38963 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/M*" U_SET="uset_compExch_Layer_19_to_20_sites_50_52";
38964 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
38965 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
38966 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
38967 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
38968 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
38969 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
38970 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
38971 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
38972 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
38973 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
38974 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
38975 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
38976 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
38977 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
38978 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
38979 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
38980 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
38981 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
38982 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
38983 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
38984 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
38985 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
38986 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
38987 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
38988 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
38989 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
38990 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
38991 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
38992 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
38993 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
38994 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
38995 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
38996 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
38997 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
38998 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
38999 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39000 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39001 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39002 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39003 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39004 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39005 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39006 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39007 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39008 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39009 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39010 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39011 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39012 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39013 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39014 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39015 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39016 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39017 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39018 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39019 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39020 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39021 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39022 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39023 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39024 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39025 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39026 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39027 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39028 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39029 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39030 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39031 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39032 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39033 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39034 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39035 
39036 #INST "decoder_inst/compExch_Layer_19_to_20_sites_50_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_50_52";
39037 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_50_52" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39038 
39039 
39040 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/M*" U_SET="uset_compExch_Layer_19_to_20_sites_51_53";
39041 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39042 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39043 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39044 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39045 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39046 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39047 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39048 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39049 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39050 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39051 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39052 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39053 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39054 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39055 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39056 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39057 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39058 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39059 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39060 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39061 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39062 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39063 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39064 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39065 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39066 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39067 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39068 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39069 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39070 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39071 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39072 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39073 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39074 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39075 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39076 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39077 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39078 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39079 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39080 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39081 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39082 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39083 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39084 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39085 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39086 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39087 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39088 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39089 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39090 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39091 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39092 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39093 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39094 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39095 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39096 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39097 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39098 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39099 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39100 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39101 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39102 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39103 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39104 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39105 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39106 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39107 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39108 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39109 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39110 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39111 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39112 
39113 #INST "decoder_inst/compExch_Layer_19_to_20_sites_51_53/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_51_53";
39114 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_51_53" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39115 
39116 
39117 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/M*" U_SET="uset_compExch_Layer_19_to_20_sites_54_56";
39118 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39119 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39120 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39121 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39122 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39123 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39124 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39125 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39126 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39127 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39128 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39129 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39130 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39131 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39132 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39133 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39134 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39135 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39136 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39137 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39138 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39139 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39140 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39141 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39142 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39143 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39144 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39145 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39146 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39147 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39148 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39149 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39150 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39151 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39152 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39153 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39154 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39155 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39156 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39157 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39158 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39159 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39160 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39161 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39162 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39163 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39164 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39165 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39166 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39167 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39168 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39169 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39170 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39171 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39172 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39173 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39174 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39175 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39176 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39177 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39178 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39179 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39180 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39181 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39182 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39183 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39184 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39185 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39186 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39187 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39188 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39189 
39190 #INST "decoder_inst/compExch_Layer_19_to_20_sites_54_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_54_56";
39191 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_54_56" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39192 
39193 
39194 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/M*" U_SET="uset_compExch_Layer_19_to_20_sites_55_57";
39195 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39196 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39197 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39198 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39199 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39200 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39201 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39202 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39203 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39204 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39205 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39206 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39207 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39208 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39209 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39210 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39211 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39212 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39213 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39214 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39215 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39216 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39217 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39218 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39219 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39220 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39221 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39222 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39223 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39224 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39225 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39226 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39227 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39228 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39229 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39230 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39231 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39232 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39233 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39234 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39235 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39236 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39237 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39238 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39239 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39240 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39241 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39242 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39243 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39244 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39245 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39246 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39247 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39248 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39249 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39250 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39251 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39252 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39253 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39254 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39255 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39256 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39257 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39258 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39259 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39260 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39261 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39262 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39263 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39264 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39265 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39266 
39267 #INST "decoder_inst/compExch_Layer_19_to_20_sites_55_57/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_55_57";
39268 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_55_57" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39269 
39270 
39271 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/M*" U_SET="uset_compExch_Layer_19_to_20_sites_58_60";
39272 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39273 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39274 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39275 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39276 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39277 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39278 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39279 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39280 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39281 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39282 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39283 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39284 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39285 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39286 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39287 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39288 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39289 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39290 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39291 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39292 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39293 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39294 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39295 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39296 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39297 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39298 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39299 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39300 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39301 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39302 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39303 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39304 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39305 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39306 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39307 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39308 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39309 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39310 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39311 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39312 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39313 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39314 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39315 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39316 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39317 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39318 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39319 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39320 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39321 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39322 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39323 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39324 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39325 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39326 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39327 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39328 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39329 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39330 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39331 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39332 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39333 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39334 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39335 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39336 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39337 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39338 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39339 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39340 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39341 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39342 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39343 
39344 #INST "decoder_inst/compExch_Layer_19_to_20_sites_58_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_58_60";
39345 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_58_60" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39346 
39347 
39348 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/M*" U_SET="uset_compExch_Layer_19_to_20_sites_59_61";
39349 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39350 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39351 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39352 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39353 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39354 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39355 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39356 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39357 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39358 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39359 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39360 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39361 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39362 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39363 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39364 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39365 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39366 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39367 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39368 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39369 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39370 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39371 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39372 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39373 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39374 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39375 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39376 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39377 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39378 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39379 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39380 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39381 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39382 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39383 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39384 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39385 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39386 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39387 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39388 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39389 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39390 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39391 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39392 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39393 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39394 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39395 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39396 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39397 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39398 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39399 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39400 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39401 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39402 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39403 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39404 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39405 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39406 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39407 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39408 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39409 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39410 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39411 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39412 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39413 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39414 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39415 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39416 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39417 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39418 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39419 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39420 
39421 #INST "decoder_inst/compExch_Layer_19_to_20_sites_59_61/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_19_to_20_sites_59_61";
39422 #AREA_GROUP "AREA_compExch_Layer_19_to_20_sites_59_61" RANGE=SLICE_X216Y2:SLICE_X225Y233;
39423 
39424 
39425 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/M*" U_SET="uset_compExch_Layer_20_to_21_sites_01_02";
39426 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39427 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39428 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39429 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39430 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39431 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39432 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39433 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39434 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39435 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39436 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39437 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39438 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39439 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39440 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39441 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39442 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39443 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39444 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39445 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39446 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39447 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39448 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39449 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39450 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39451 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39452 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39453 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39454 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39455 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39456 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39457 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39458 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39459 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39460 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39461 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39462 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39463 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39464 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39465 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39466 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39467 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39468 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39469 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39470 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39471 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39472 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39473 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39474 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39475 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39476 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39477 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39478 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39479 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39480 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39481 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39482 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39483 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39484 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39485 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39486 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39487 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39488 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39489 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39490 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39491 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39492 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39493 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39494 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39495 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39496 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39497 
39498 INST "decoder_inst/compExch_Layer_20_to_21_sites_01_02/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_01_02";
39499 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_01_02" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39500 
39501 
39502 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/M*" U_SET="uset_compExch_Layer_20_to_21_sites_03_04";
39503 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39504 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39505 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39506 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39507 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39508 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39509 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39510 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39511 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39512 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39513 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39514 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39515 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39516 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39517 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39518 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39519 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39520 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39521 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39522 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39523 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39524 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39525 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39526 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39527 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39528 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39529 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39530 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39531 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39532 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39533 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39534 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39535 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39536 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39537 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39538 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39539 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39540 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39541 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39542 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39543 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39544 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39545 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39546 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39547 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39548 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39549 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39550 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39551 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39552 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39553 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39554 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39555 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39556 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39557 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39558 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39559 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39560 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39561 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39562 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39563 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39564 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39565 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39566 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39567 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39568 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39569 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39570 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39571 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39572 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39573 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39574 
39575 INST "decoder_inst/compExch_Layer_20_to_21_sites_03_04/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_03_04";
39576 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_03_04" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39577 
39578 
39579 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/M*" U_SET="uset_compExch_Layer_20_to_21_sites_05_06";
39580 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39581 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39582 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39583 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39584 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39585 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39586 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39587 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39588 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39589 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39590 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39591 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39592 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39593 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39594 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39595 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39596 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39597 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39598 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39599 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39600 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39601 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39602 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39603 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39604 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39605 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39606 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39607 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39608 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39609 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39610 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39611 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39612 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39613 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39614 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39615 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39616 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39617 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39618 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39619 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39620 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39621 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39622 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39623 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39624 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39625 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39626 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39627 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39628 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39629 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39630 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39631 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39632 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39633 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39634 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39635 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39636 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39637 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39638 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39639 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39640 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39641 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39642 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39643 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39644 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39645 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39646 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39647 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39648 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39649 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39650 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39651 
39652 INST "decoder_inst/compExch_Layer_20_to_21_sites_05_06/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_05_06";
39653 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_05_06" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39654 
39655 
39656 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/M*" U_SET="uset_compExch_Layer_20_to_21_sites_07_08";
39657 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39658 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39659 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39660 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39661 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39662 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39663 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39664 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39665 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39666 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39667 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39668 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39669 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39670 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39671 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39672 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39673 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39674 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39675 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39676 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39677 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39678 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39679 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39680 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39681 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39682 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39683 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39684 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39685 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39686 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39687 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39688 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39689 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39690 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39691 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39692 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39693 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39694 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39695 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39696 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39697 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39698 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39699 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39700 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39701 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39702 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39703 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39704 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39705 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39706 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39707 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39708 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39709 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39710 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39711 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39712 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39713 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39714 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39715 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39716 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39717 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39718 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39719 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39720 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39721 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39722 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39723 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39724 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39725 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39726 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39727 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39728 
39729 INST "decoder_inst/compExch_Layer_20_to_21_sites_07_08/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_07_08";
39730 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_07_08" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39731 
39732 
39733 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/M*" U_SET="uset_compExch_Layer_20_to_21_sites_09_10";
39734 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39735 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39736 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39737 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39738 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39739 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39740 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39741 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39742 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39743 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39744 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39745 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39746 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39747 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39748 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39749 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39750 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39751 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39752 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39753 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39754 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39755 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39756 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39757 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39758 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39759 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39760 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39761 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39762 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39763 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39764 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39765 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39766 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39767 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39768 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39769 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39770 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39771 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39772 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39773 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39774 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39775 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39776 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39777 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39778 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39779 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39780 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39781 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39782 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39783 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39784 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39785 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39786 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39787 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39788 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39789 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39790 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39791 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39792 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39793 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39794 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39795 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39796 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39797 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39798 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39799 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39800 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39801 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39802 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39803 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39804 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39805 
39806 INST "decoder_inst/compExch_Layer_20_to_21_sites_09_10/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_09_10";
39807 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_09_10" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39808 
39809 
39810 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/M*" U_SET="uset_compExch_Layer_20_to_21_sites_11_12";
39811 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39812 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39813 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39814 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39815 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39816 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39817 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39818 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39819 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39820 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39821 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39822 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39823 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39824 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39825 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39826 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39827 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39828 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39829 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39830 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39831 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39832 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39833 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39834 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39835 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39836 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39837 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39838 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39839 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39840 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39841 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39842 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39843 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39844 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39845 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39846 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39847 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39848 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39849 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39850 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39851 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39852 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39853 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39854 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39855 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39856 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39857 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39858 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39859 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39860 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39861 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39862 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39863 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39864 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39865 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39866 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39867 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39868 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39869 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39870 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39871 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39872 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39873 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39874 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39875 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39876 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39877 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39878 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39879 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39880 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39881 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39882 
39883 INST "decoder_inst/compExch_Layer_20_to_21_sites_11_12/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_11_12";
39884 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_11_12" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39885 
39886 
39887 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/M*" U_SET="uset_compExch_Layer_20_to_21_sites_13_14";
39888 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39889 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39890 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39891 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39892 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39893 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39894 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39895 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39896 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39897 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39898 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39899 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39900 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39901 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39902 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39903 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39904 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39905 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39906 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39907 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39908 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39909 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39910 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39911 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39912 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39913 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39914 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39915 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39916 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39917 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39918 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39919 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39920 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39921 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39922 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
39923 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
39924 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
39925 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
39926 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
39927 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
39928 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
39929 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
39930 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
39931 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
39932 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
39933 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
39934 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
39935 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
39936 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
39937 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
39938 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
39939 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
39940 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
39941 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
39942 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
39943 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
39944 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
39945 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
39946 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
39947 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
39948 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
39949 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
39950 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
39951 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
39952 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
39953 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
39954 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
39955 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
39956 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
39957 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
39958 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
39959 
39960 INST "decoder_inst/compExch_Layer_20_to_21_sites_13_14/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_13_14";
39961 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_13_14" RANGE=SLICE_X220Y2:SLICE_X229Y233;
39962 
39963 
39964 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/M*" U_SET="uset_compExch_Layer_20_to_21_sites_15_16";
39965 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
39966 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
39967 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
39968 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
39969 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
39970 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
39971 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
39972 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
39973 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
39974 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
39975 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
39976 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
39977 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
39978 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
39979 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
39980 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
39981 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
39982 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
39983 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
39984 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
39985 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
39986 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
39987 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
39988 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
39989 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
39990 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
39991 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
39992 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
39993 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
39994 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
39995 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
39996 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
39997 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
39998 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
39999 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40000 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40001 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40002 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40003 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40004 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40005 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40006 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40007 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40008 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40009 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40010 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40011 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40012 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40013 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40014 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40015 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40016 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40017 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40018 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40019 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40020 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40021 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40022 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40023 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40024 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40025 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40026 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40027 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40028 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40029 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40030 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40031 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40032 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40033 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40034 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40035 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40036 
40037 INST "decoder_inst/compExch_Layer_20_to_21_sites_15_16/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_15_16";
40038 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_15_16" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40039 
40040 
40041 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/M*" U_SET="uset_compExch_Layer_20_to_21_sites_17_18";
40042 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40043 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40044 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40045 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40046 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40047 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40048 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40049 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40050 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40051 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40052 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40053 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40054 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40055 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40056 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40057 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40058 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40059 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40060 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40061 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40062 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40063 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40064 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40065 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40066 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40067 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40068 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40069 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40070 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40071 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40072 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40073 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40074 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40075 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40076 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40077 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40078 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40079 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40080 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40081 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40082 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40083 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40084 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40085 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40086 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40087 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40088 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40089 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40090 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40091 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40092 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40093 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40094 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40095 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40096 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40097 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40098 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40099 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40100 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40101 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40102 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40103 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40104 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40105 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40106 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40107 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40108 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40109 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40110 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40111 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40112 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40113 
40114 INST "decoder_inst/compExch_Layer_20_to_21_sites_17_18/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_17_18";
40115 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_17_18" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40116 
40117 
40118 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/M*" U_SET="uset_compExch_Layer_20_to_21_sites_19_20";
40119 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40120 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40121 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40122 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40123 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40124 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40125 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40126 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40127 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40128 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40129 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40130 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40131 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40132 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40133 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40134 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40135 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40136 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40137 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40138 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40139 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40140 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40141 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40142 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40143 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40144 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40145 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40146 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40147 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40148 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40149 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40150 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40151 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40152 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40153 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40154 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40155 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40156 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40157 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40158 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40159 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40160 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40161 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40162 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40163 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40164 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40165 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40166 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40167 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40168 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40169 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40170 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40171 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40172 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40173 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40174 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40175 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40176 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40177 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40178 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40179 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40180 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40181 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40182 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40183 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40184 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40185 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40186 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40187 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40188 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40189 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40190 
40191 INST "decoder_inst/compExch_Layer_20_to_21_sites_19_20/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_19_20";
40192 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_19_20" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40193 
40194 
40195 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/M*" U_SET="uset_compExch_Layer_20_to_21_sites_21_22";
40196 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40197 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40198 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40199 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40200 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40201 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40202 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40203 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40204 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40205 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40206 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40207 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40208 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40209 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40210 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40211 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40212 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40213 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40214 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40215 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40216 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40217 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40218 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40219 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40220 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40221 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40222 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40223 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40224 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40225 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40226 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40227 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40228 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40229 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40230 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40231 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40232 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40233 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40234 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40235 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40236 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40237 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40238 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40239 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40240 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40241 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40242 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40243 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40244 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40245 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40246 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40247 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40248 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40249 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40250 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40251 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40252 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40253 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40254 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40255 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40256 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40257 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40258 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40259 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40260 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40261 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40262 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40263 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40264 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40265 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40266 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40267 
40268 INST "decoder_inst/compExch_Layer_20_to_21_sites_21_22/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_21_22";
40269 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_21_22" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40270 
40271 
40272 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/M*" U_SET="uset_compExch_Layer_20_to_21_sites_23_24";
40273 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40274 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40275 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40276 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40277 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40278 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40279 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40280 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40281 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40282 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40283 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40284 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40285 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40286 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40287 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40288 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40289 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40290 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40291 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40292 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40293 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40294 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40295 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40296 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40297 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40298 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40299 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40300 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40301 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40302 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40303 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40304 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40305 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40306 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40307 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40308 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40309 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40310 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40311 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40312 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40313 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40314 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40315 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40316 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40317 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40318 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40319 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40320 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40321 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40322 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40323 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40324 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40325 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40326 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40327 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40328 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40329 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40330 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40331 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40332 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40333 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40334 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40335 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40336 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40337 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40338 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40339 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40340 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40341 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40342 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40343 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40344 
40345 INST "decoder_inst/compExch_Layer_20_to_21_sites_23_24/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_23_24";
40346 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_23_24" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40347 
40348 
40349 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/M*" U_SET="uset_compExch_Layer_20_to_21_sites_25_26";
40350 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40351 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40352 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40353 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40354 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40355 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40356 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40357 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40358 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40359 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40360 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40361 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40362 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40363 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40364 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40365 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40366 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40367 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40368 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40369 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40370 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40371 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40372 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40373 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40374 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40375 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40376 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40377 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40378 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40379 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40380 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40381 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40382 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40383 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40384 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40385 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40386 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40387 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40388 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40389 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40390 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40391 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40392 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40393 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40394 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40395 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40396 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40397 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40398 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40399 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40400 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40401 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40402 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40403 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40404 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40405 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40406 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40407 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40408 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40409 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40410 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40411 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40412 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40413 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40414 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40415 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40416 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40417 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40418 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40419 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40420 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40421 
40422 INST "decoder_inst/compExch_Layer_20_to_21_sites_25_26/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_25_26";
40423 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_25_26" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40424 
40425 
40426 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/M*" U_SET="uset_compExch_Layer_20_to_21_sites_27_28";
40427 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40428 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40429 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40430 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40431 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40432 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40433 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40434 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40435 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40436 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40437 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40438 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40439 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40440 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40441 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40442 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40443 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40444 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40445 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40446 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40447 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40448 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40449 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40450 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40451 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40452 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40453 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40454 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40455 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40456 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40457 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40458 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40459 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40460 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40461 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40462 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40463 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40464 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40465 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40466 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40467 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40468 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40469 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40470 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40471 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40472 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40473 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40474 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40475 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40476 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40477 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40478 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40479 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40480 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40481 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40482 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40483 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40484 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40485 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40486 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40487 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40488 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40489 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40490 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40491 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40492 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40493 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40494 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40495 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40496 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40497 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40498 
40499 INST "decoder_inst/compExch_Layer_20_to_21_sites_27_28/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_27_28";
40500 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_27_28" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40501 
40502 
40503 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/M*" U_SET="uset_compExch_Layer_20_to_21_sites_29_30";
40504 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40505 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40506 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40507 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40508 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40509 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40510 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40511 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40512 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40513 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40514 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40515 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40516 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40517 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40518 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40519 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40520 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40521 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40522 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40523 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40524 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40525 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40526 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40527 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40528 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40529 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40530 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40531 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40532 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40533 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40534 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40535 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40536 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40537 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40538 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40539 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40540 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40541 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40542 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40543 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40544 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40545 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40546 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40547 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40548 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40549 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40550 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40551 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40552 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40553 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40554 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40555 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40556 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40557 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40558 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40559 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40560 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40561 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40562 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40563 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40564 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40565 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40566 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40567 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40568 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40569 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40570 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40571 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40572 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40573 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40574 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40575 
40576 INST "decoder_inst/compExch_Layer_20_to_21_sites_29_30/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_29_30";
40577 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_29_30" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40578 
40579 
40580 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/M*" U_SET="uset_compExch_Layer_20_to_21_sites_31_32";
40581 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40582 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40583 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40584 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40585 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40586 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40587 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40588 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40589 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40590 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40591 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40592 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40593 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40594 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40595 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40596 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40597 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40598 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40599 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40600 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40601 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40602 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40603 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40604 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40605 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40606 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40607 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40608 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40609 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40610 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40611 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40612 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40613 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40614 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40615 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40616 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40617 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40618 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40619 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40620 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40621 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40622 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40623 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40624 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40625 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40626 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40627 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40628 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40629 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40630 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40631 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40632 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40633 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40634 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40635 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40636 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40637 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40638 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40639 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40640 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40641 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40642 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40643 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40644 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40645 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40646 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40647 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40648 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40649 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40650 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40651 #INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40652 
40653 INST "decoder_inst/compExch_Layer_20_to_21_sites_31_32/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_31_32";
40654 AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_31_32" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40655 
40656 
40657 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/M*" U_SET="uset_compExch_Layer_20_to_21_sites_33_34";
40658 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40659 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40660 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40661 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40662 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40663 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40664 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40665 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40666 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40667 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40668 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40669 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40670 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40671 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40672 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40673 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40674 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40675 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40676 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40677 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40678 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40679 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40680 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40681 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40682 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40683 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40684 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40685 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40686 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40687 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40688 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40689 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40690 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40691 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40692 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40693 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40694 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40695 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40696 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40697 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40698 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40699 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40700 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40701 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40702 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40703 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40704 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40705 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40706 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40707 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40708 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40709 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40710 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40711 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40712 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40713 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40714 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40715 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40716 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40717 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40718 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40719 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40720 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40721 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40722 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40723 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40724 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40725 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40726 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40727 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40728 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40729 
40730 #INST "decoder_inst/compExch_Layer_20_to_21_sites_33_34/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_33_34";
40731 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_33_34" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40732 
40733 
40734 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/M*" U_SET="uset_compExch_Layer_20_to_21_sites_35_36";
40735 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40736 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40737 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40738 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40739 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40740 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40741 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40742 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40743 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40744 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40745 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40746 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40747 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40748 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40749 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40750 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40751 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40752 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40753 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40754 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40755 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40756 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40757 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40758 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40759 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40760 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40761 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40762 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40763 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40764 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40765 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40766 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40767 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40768 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40769 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40770 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40771 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40772 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40773 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40774 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40775 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40776 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40777 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40778 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40779 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40780 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40781 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40782 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40783 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40784 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40785 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40786 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40787 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40788 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40789 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40790 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40791 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40792 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40793 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40794 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40795 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40796 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40797 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40798 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40799 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40800 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40801 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40802 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40803 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40804 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40805 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40806 
40807 #INST "decoder_inst/compExch_Layer_20_to_21_sites_35_36/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_35_36";
40808 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_35_36" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40809 
40810 
40811 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/M*" U_SET="uset_compExch_Layer_20_to_21_sites_37_38";
40812 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40813 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40814 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40815 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40816 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40817 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40818 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40819 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40820 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40821 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40822 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40823 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40824 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40825 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40826 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40827 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40828 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40829 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40830 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40831 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40832 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40833 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40834 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40835 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40836 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40837 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40838 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40839 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40840 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40841 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40842 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40843 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40844 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40845 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40846 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40847 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40848 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40849 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40850 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40851 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40852 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40853 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40854 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40855 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40856 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40857 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40858 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40859 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40860 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40861 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40862 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40863 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40864 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40865 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40866 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40867 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40868 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40869 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40870 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40871 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40872 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40873 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40874 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40875 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40876 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40877 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40878 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40879 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40880 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40881 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40882 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40883 
40884 #INST "decoder_inst/compExch_Layer_20_to_21_sites_37_38/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_37_38";
40885 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_37_38" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40886 
40887 
40888 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/M*" U_SET="uset_compExch_Layer_20_to_21_sites_39_40";
40889 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40890 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40891 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40892 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40893 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40894 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40895 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40896 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40897 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40898 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40899 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40900 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40901 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40902 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40903 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40904 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40905 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40906 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40907 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40908 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40909 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40910 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40911 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40912 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40913 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40914 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40915 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40916 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40917 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40918 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40919 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40920 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40921 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40922 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
40923 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
40924 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
40925 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
40926 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
40927 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
40928 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
40929 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
40930 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
40931 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
40932 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
40933 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
40934 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
40935 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
40936 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
40937 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
40938 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
40939 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
40940 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
40941 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
40942 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
40943 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
40944 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
40945 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
40946 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
40947 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
40948 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
40949 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
40950 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
40951 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
40952 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
40953 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
40954 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
40955 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
40956 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
40957 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
40958 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
40959 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
40960 
40961 #INST "decoder_inst/compExch_Layer_20_to_21_sites_39_40/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_39_40";
40962 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_39_40" RANGE=SLICE_X220Y2:SLICE_X229Y233;
40963 
40964 
40965 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/M*" U_SET="uset_compExch_Layer_20_to_21_sites_41_42";
40966 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
40967 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
40968 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
40969 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
40970 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
40971 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
40972 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
40973 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
40974 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
40975 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
40976 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
40977 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
40978 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
40979 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
40980 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
40981 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
40982 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
40983 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
40984 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
40985 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
40986 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
40987 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
40988 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
40989 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
40990 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
40991 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
40992 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
40993 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
40994 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
40995 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
40996 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
40997 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
40998 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
40999 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41000 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41001 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41002 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41003 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41004 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41005 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41006 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41007 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41008 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41009 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41010 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41011 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41012 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41013 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41014 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41015 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41016 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41017 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41018 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41019 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41020 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41021 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41022 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41023 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41024 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41025 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41026 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41027 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41028 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41029 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41030 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41031 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41032 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41033 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41034 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41035 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41036 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41037 
41038 #INST "decoder_inst/compExch_Layer_20_to_21_sites_41_42/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_41_42";
41039 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_41_42" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41040 
41041 
41042 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/M*" U_SET="uset_compExch_Layer_20_to_21_sites_43_44";
41043 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41044 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41045 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41046 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41047 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41048 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41049 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41050 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41051 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41052 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41053 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41054 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41055 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41056 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41057 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41058 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41059 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41060 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41061 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41062 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41063 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41064 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41065 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41066 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41067 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41068 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41069 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41070 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41071 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41072 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41073 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41074 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41075 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41076 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41077 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41078 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41079 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41080 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41081 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41082 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41083 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41084 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41085 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41086 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41087 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41088 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41089 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41090 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41091 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41092 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41093 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41094 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41095 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41096 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41097 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41098 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41099 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41100 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41101 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41102 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41103 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41104 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41105 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41106 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41107 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41108 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41109 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41110 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41111 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41112 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41113 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41114 
41115 #INST "decoder_inst/compExch_Layer_20_to_21_sites_43_44/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_43_44";
41116 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_43_44" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41117 
41118 
41119 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/M*" U_SET="uset_compExch_Layer_20_to_21_sites_45_46";
41120 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41121 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41122 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41123 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41124 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41125 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41126 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41127 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41128 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41129 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41130 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41131 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41132 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41133 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41134 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41135 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41136 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41137 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41138 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41139 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41140 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41141 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41142 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41143 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41144 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41145 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41146 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41147 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41148 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41149 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41150 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41151 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41152 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41153 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41154 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41155 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41156 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41157 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41158 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41159 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41160 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41161 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41162 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41163 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41164 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41165 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41166 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41167 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41168 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41169 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41170 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41171 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41172 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41173 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41174 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41175 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41176 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41177 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41178 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41179 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41180 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41181 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41182 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41183 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41184 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41185 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41186 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41187 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41188 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41189 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41190 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41191 
41192 #INST "decoder_inst/compExch_Layer_20_to_21_sites_45_46/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_45_46";
41193 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_45_46" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41194 
41195 
41196 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/M*" U_SET="uset_compExch_Layer_20_to_21_sites_47_48";
41197 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41198 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41199 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41200 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41201 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41202 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41203 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41204 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41205 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41206 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41207 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41208 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41209 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41210 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41211 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41212 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41213 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41214 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41215 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41216 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41217 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41218 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41219 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41220 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41221 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41222 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41223 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41224 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41225 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41226 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41227 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41228 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41229 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41230 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41231 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41232 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41233 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41234 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41235 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41236 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41237 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41238 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41239 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41240 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41241 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41242 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41243 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41244 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41245 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41246 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41247 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41248 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41249 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41250 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41251 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41252 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41253 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41254 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41255 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41256 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41257 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41258 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41259 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41260 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41261 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41262 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41263 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41264 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41265 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41266 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41267 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41268 
41269 #INST "decoder_inst/compExch_Layer_20_to_21_sites_47_48/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_47_48";
41270 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_47_48" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41271 
41272 
41273 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/M*" U_SET="uset_compExch_Layer_20_to_21_sites_49_50";
41274 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41275 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41276 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41277 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41278 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41279 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41280 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41281 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41282 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41283 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41284 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41285 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41286 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41287 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41288 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41289 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41290 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41291 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41292 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41293 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41294 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41295 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41296 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41297 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41298 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41299 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41300 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41301 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41302 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41303 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41304 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41305 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41306 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41307 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41308 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41309 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41310 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41311 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41312 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41313 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41314 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41315 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41316 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41317 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41318 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41319 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41320 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41321 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41322 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41323 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41324 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41325 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41326 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41327 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41328 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41329 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41330 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41331 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41332 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41333 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41334 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41335 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41336 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41337 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41338 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41339 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41340 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41341 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41342 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41343 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41344 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41345 
41346 #INST "decoder_inst/compExch_Layer_20_to_21_sites_49_50/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_49_50";
41347 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_49_50" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41348 
41349 
41350 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/M*" U_SET="uset_compExch_Layer_20_to_21_sites_51_52";
41351 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41352 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41353 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41354 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41355 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41356 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41357 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41358 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41359 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41360 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41361 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41362 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41363 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41364 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41365 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41366 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41367 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41368 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41369 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41370 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41371 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41372 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41373 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41374 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41375 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41376 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41377 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41378 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41379 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41380 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41381 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41382 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41383 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41384 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41385 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41386 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41387 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41388 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41389 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41390 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41391 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41392 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41393 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41394 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41395 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41396 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41397 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41398 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41399 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41400 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41401 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41402 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41403 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41404 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41405 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41406 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41407 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41408 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41409 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41410 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41411 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41412 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41413 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41414 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41415 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41416 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41417 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41418 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41419 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41420 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41421 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41422 
41423 #INST "decoder_inst/compExch_Layer_20_to_21_sites_51_52/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_51_52";
41424 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_51_52" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41425 
41426 
41427 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/M*" U_SET="uset_compExch_Layer_20_to_21_sites_53_54";
41428 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41429 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41430 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41431 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41432 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41433 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41434 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41435 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41436 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41437 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41438 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41439 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41440 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41441 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41442 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41443 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41444 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41445 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41446 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41447 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41448 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41449 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41450 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41451 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41452 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41453 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41454 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41455 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41456 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41457 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41458 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41459 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41460 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41461 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41462 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41463 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41464 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41465 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41466 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41467 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41468 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41469 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41470 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41471 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41472 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41473 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41474 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41475 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41476 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41477 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41478 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41479 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41480 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41481 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41482 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41483 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41484 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41485 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41486 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41487 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41488 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41489 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41490 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41491 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41492 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41493 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41494 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41495 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41496 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41497 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41498 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41499 
41500 #INST "decoder_inst/compExch_Layer_20_to_21_sites_53_54/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_53_54";
41501 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_53_54" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41502 
41503 
41504 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/M*" U_SET="uset_compExch_Layer_20_to_21_sites_55_56";
41505 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41506 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41507 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41508 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41509 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41510 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41511 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41512 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41513 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41514 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41515 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41516 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41517 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41518 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41519 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41520 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41521 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41522 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41523 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41524 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41525 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41526 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41527 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41528 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41529 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41530 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41531 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41532 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41533 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41534 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41535 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41536 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41537 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41538 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41539 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41540 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41541 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41542 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41543 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41544 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41545 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41546 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41547 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41548 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41549 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41550 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41551 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41552 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41553 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41554 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41555 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41556 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41557 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41558 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41559 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41560 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41561 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41562 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41563 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41564 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41565 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41566 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41567 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41568 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41569 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41570 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41571 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41572 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41573 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41574 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41575 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41576 
41577 #INST "decoder_inst/compExch_Layer_20_to_21_sites_55_56/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_55_56";
41578 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_55_56" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41579 
41580 
41581 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/M*" U_SET="uset_compExch_Layer_20_to_21_sites_57_58";
41582 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41583 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41584 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41585 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41586 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41587 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41588 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41589 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41590 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41591 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41592 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41593 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41594 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41595 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41596 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41597 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41598 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41599 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41600 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41601 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41602 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41603 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41604 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41605 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41606 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41607 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41608 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41609 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41610 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41611 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41612 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41613 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41614 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41615 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41616 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41617 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41618 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41619 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41620 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41621 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41622 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41623 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41624 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41625 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41626 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41627 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41628 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41629 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41630 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41631 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41632 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41633 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41634 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41635 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41636 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41637 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41638 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41639 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41640 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41641 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41642 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41643 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41644 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41645 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41646 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41647 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41648 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41649 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41650 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41651 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41652 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41653 
41654 #INST "decoder_inst/compExch_Layer_20_to_21_sites_57_58/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_57_58";
41655 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_57_58" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41656 
41657 
41658 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/M*" U_SET="uset_compExch_Layer_20_to_21_sites_59_60";
41659 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41660 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41661 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41662 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41663 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41664 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41665 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41666 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41667 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41668 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41669 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41670 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41671 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41672 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41673 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41674 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41675 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41676 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41677 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41678 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41679 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41680 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41681 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41682 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41683 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41684 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41685 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41686 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41687 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41688 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41689 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41690 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41691 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41692 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41693 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41694 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41695 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41696 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41697 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41698 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41699 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41700 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41701 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41702 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41703 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41704 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41705 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41706 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41707 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41708 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41709 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41710 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41711 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41712 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41713 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41714 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41715 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41716 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41717 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41718 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41719 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41720 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41721 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41722 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41723 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41724 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41725 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41726 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41727 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41728 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41729 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41730 
41731 #INST "decoder_inst/compExch_Layer_20_to_21_sites_59_60/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_59_60";
41732 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_59_60" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41733 
41734 
41735 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/M*" U_SET="uset_compExch_Layer_20_to_21_sites_61_62";
41736 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<0>" RLOC=X0Y-1;
41737 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<1>" RLOC=X0Y-1;
41738 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<2>" RLOC=X0Y-1;
41739 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<3>" RLOC=X0Y-1;
41740 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_cy<4>" RLOC=X0Y0;
41741 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<0>" RLOC=X0Y-1;
41742 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<1>" RLOC=X0Y-1;
41743 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<2>" RLOC=X0Y-1;
41744 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<3>" RLOC=X0Y-1;
41745 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" RLOC=X0Y0;
41746 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi" RLOC=X0Y-1;
41747 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi1" RLOC=X0Y-1;
41748 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi2" RLOC=X0Y-1;
41749 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi3" RLOC=X0Y-1;
41750 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lutdi4" RLOC=X0Y0;
41751 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos91" RLOC=X1Y-2 ;
41752 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos81" RLOC=X1Y-2 ;
41753 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos71" RLOC=X1Y-2 ;
41754 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos61" RLOC=X2Y-2 ;
41755 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos51" RLOC=X2Y-2 ;
41756 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos41" RLOC=X2Y-1 ;
41757 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos31" RLOC=X2Y-1 ;
41758 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos21" RLOC=X2Y-1 ;
41759 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_pos11" RLOC=X2Y-1 ;
41760 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et211" RLOC=X1Y-1 ;
41761 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et221" RLOC=X1Y-1 ;
41762 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et231" RLOC=X1Y-1 ;
41763 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et241" RLOC=X1Y-1 ;
41764 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et251" RLOC=X-1Y-1 ;
41765 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et261" RLOC=X-1Y-1 ;
41766 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et271" RLOC=X-1Y-1 ;
41767 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et281" RLOC=X-1Y-1 ;
41768 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et291" RLOC=X1Y0 ;
41769 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et2101" RLOC=X1Y0 ;
41770 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et111" RLOC=X1Y-2 ;
41771 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et121" RLOC=X0Y-2 ;
41772 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et131" RLOC=X0Y-2 ;
41773 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et141" RLOC=X0Y-2 ;
41774 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et151" RLOC=X0Y-2 ;
41775 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et161" RLOC=X-1Y-2 ;
41776 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et171" RLOC=X-1Y-2 ;
41777 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et181" RLOC=X-1Y-2 ;
41778 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[0]_Et191" RLOC=X-1Y-2 ;
41779 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos91" RLOC=X2Y0 ;
41780 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos81" RLOC=X2Y0 ;
41781 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos71" RLOC=X2Y0 ;
41782 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos61" RLOC=X2Y1 ;
41783 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos51" RLOC=X2Y1 ;
41784 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos41" RLOC=X2Y1 ;
41785 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos31" RLOC=X2Y1 ;
41786 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos21" RLOC=X1Y1 ;
41787 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_pos11" RLOC=X1Y1 ;
41788 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et211" RLOC=X1Y0;
41789 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et221" RLOC=X1Y0;
41790 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et231" RLOC=X0Y0;
41791 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et241" RLOC=X0Y0;
41792 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et251" RLOC=X0Y0;
41793 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et261" RLOC=X0Y1;
41794 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et271" RLOC=X-1Y0;
41795 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et281" RLOC=X-1Y0;
41796 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et291" RLOC=X-1Y0;
41797 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et2101" RLOC=X-1Y0;
41798 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et111" RLOC=X1Y1 ;
41799 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et121" RLOC=X1Y1 ;
41800 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et131" RLOC=X0Y1 ;
41801 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et141" RLOC=X0Y1 ;
41802 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et151" RLOC=X0Y1 ;
41803 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et161" RLOC=X-1Y1 ;
41804 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et171" RLOC=X-1Y1 ;
41805 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et181" RLOC=X-1Y1 ;
41806 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mmux_ResultPair[1]_Et191" RLOC=X-1Y1 ;
41807 
41808 #INST "decoder_inst/compExch_Layer_20_to_21_sites_61_62/Mcompar_B_Et2[9]_A_Et2[9]_LessThan_13_o_lut<4>" AREA_GROUP="AREA_compExch_Layer_20_to_21_sites_61_62";
41809 #AREA_GROUP "AREA_compExch_Layer_20_to_21_sites_61_62" RANGE=SLICE_X220Y2:SLICE_X229Y233;
41810 
41811