CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
compExch Entity Reference
Inheritance diagram for compExch:
decoder

Entities

Behavioral  architecture
 

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
work.CMXpackage.all 
work.CMX_flavor_package.all 

Ports

A   in JetTOB
B   in JetTOB
H   out JetTOB
L   out JetTOB

Detailed Description

Definition at line 23 of file compExch.vhd.

Member Data Documentation

A in JetTOB
Port

Definition at line 25 of file compExch.vhd.

B in JetTOB
Port

Definition at line 25 of file compExch.vhd.

H out JetTOB
Port

Definition at line 26 of file compExch.vhd.

IEEE
Library

Definition at line 12 of file compExch.vhd.

Definition at line 17 of file compExch.vhd.

Definition at line 13 of file compExch.vhd.

L out JetTOB
Port

Definition at line 26 of file compExch.vhd.

Definition at line 20 of file compExch.vhd.

Definition at line 19 of file compExch.vhd.


The documentation for this class was generated from the following file: