CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
struct Architecture Reference

Processes

PROCESS_139  ( clk )
PROCESS_140  ( clk )
PROCESS_173  ( clk )
PROCESS_174  ( clk )

Signals

sum_internal  T_SLV75
thrPat  thPatL_type ( max_jems - 1 downto 0 )
mult  mult_type ( max_jems - 1 downto 0 )
threshold_table  threshold_type ( max_jems - 1 downto 0 )
data_high  High_word ( max_jems - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
data_low  Low_word ( max_jems - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
TOBs  arr_TOB ( ( max_jems * max_tobs_pjem ) - 1 downto 0 )
xpos  xpos_type ( max_jems - 1 downto 0 )
loc  loc_type ( max_jems - 1 downto 0 )
overflow  T_SLV16
bitofw  T_SL
bitofw_del0  T_SL
bitofw_del1  T_SL
par_err_lcl_d0  T_SL
dout_lcl_tmp  T_SLV60
sum_internal  T_SLV48
thrPat  thPatL_type ( max_cps - 1 downto 0 )
mult  mult_type ( max_cps - 1 downto 0 )
threshold_table  threshold_type ( max_cps - 1 downto 0 )
roi_posRxA  Rxpos_type ( max_cps - 1 downto 0 )
roi_posRxB  Rxpos_type ( max_cps - 1 downto 0 )
roi_posRx  Rxpos_type ( max_cps - 1 downto 0 )
ntobsA  ntobsA_type ( max_cps - 1 downto 0 )
loc  loc_type ( max_cps - 1 downto 0 )
TOBs  arr_TOB ( max_cps * max_tobs_pcp - 1 downto 0 )
data_high  High_word ( max_cps - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
data_low  Low_word ( max_cps - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
overflow  T_SLV14
ntobs_low  ntobsA_type ( max_cps - 1 downto 0 )
ntobs_high  ntobsA_type ( max_cps - 1 downto 0 )
ntobs_tot  ntobstot_type ( max_cps - 1 downto 0 )
dout_lcl_tmp  T_SLV48

Detailed Description

Definition at line 53 of file main_crt_vs.vhd.

Member Function Documentation

PROCESS_139 (   clk  
)
Process

Definition at line 130 of file main_crt_vs.vhd.

PROCESS_140 (   clk  
)
Process

Definition at line 172 of file main_crt_vs.vhd.

PROCESS_173 (   clk  
)
Process

Definition at line 157 of file main_crt_vs_cp.vhd.

PROCESS_174 (   clk  
)
Process

Definition at line 192 of file main_crt_vs_cp.vhd.

Member Data Documentation

bitofw T_SL
Signal

Definition at line 70 of file main_crt_vs.vhd.

bitofw_del0 T_SL
Signal

Definition at line 71 of file main_crt_vs.vhd.

bitofw_del1 T_SL
Signal

Definition at line 72 of file main_crt_vs.vhd.

data_high High_word ( max_jems - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
Signal

Definition at line 63 of file main_crt_vs.vhd.

data_high High_word ( max_cps - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
Signal

Definition at line 65 of file main_crt_vs_cp.vhd.

data_low Low_word ( max_jems - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
Signal

Definition at line 64 of file main_crt_vs.vhd.

data_low Low_word ( max_cps - 1 downto 0 ) := ( others = > ( others = > ( others = > ' 0 ' ) ) )
Signal

Definition at line 66 of file main_crt_vs_cp.vhd.

dout_lcl_tmp T_SLV60
Signal

Definition at line 75 of file main_crt_vs.vhd.

dout_lcl_tmp T_SLV48
Signal

Definition at line 78 of file main_crt_vs_cp.vhd.

loc loc_type ( max_cps - 1 downto 0 )
Signal

Definition at line 62 of file main_crt_vs_cp.vhd.

loc loc_type ( max_jems - 1 downto 0 )
Signal

Definition at line 67 of file main_crt_vs.vhd.

mult mult_type ( max_cps - 1 downto 0 )
Signal

Definition at line 54 of file main_crt_vs_cp.vhd.

mult mult_type ( max_jems - 1 downto 0 )
Signal

Definition at line 60 of file main_crt_vs.vhd.

ntobs_high ntobsA_type ( max_cps - 1 downto 0 )
Signal

Definition at line 74 of file main_crt_vs_cp.vhd.

ntobs_low ntobsA_type ( max_cps - 1 downto 0 )
Signal

Definition at line 73 of file main_crt_vs_cp.vhd.

ntobs_tot ntobstot_type ( max_cps - 1 downto 0 )
Signal

Definition at line 75 of file main_crt_vs_cp.vhd.

ntobsA ntobsA_type ( max_cps - 1 downto 0 )
Signal

Definition at line 61 of file main_crt_vs_cp.vhd.

overflow T_SLV14
Signal

Definition at line 68 of file main_crt_vs_cp.vhd.

overflow T_SLV16
Signal

Definition at line 69 of file main_crt_vs.vhd.

par_err_lcl_d0 T_SL
Signal

Definition at line 74 of file main_crt_vs.vhd.

roi_posRx Rxpos_type ( max_cps - 1 downto 0 )
Signal

Definition at line 60 of file main_crt_vs_cp.vhd.

roi_posRxA Rxpos_type ( max_cps - 1 downto 0 )
Signal

Definition at line 58 of file main_crt_vs_cp.vhd.

roi_posRxB Rxpos_type ( max_cps - 1 downto 0 )
Signal

Definition at line 59 of file main_crt_vs_cp.vhd.

sum_internal T_SLV48
Signal

Definition at line 52 of file main_crt_vs_cp.vhd.

sum_internal T_SLV75
Signal

Definition at line 58 of file main_crt_vs.vhd.

threshold_table threshold_type ( max_cps - 1 downto 0 )
Signal

Definition at line 55 of file main_crt_vs_cp.vhd.

threshold_table threshold_type ( max_jems - 1 downto 0 )
Signal

Definition at line 61 of file main_crt_vs.vhd.

thrPat thPatL_type ( max_cps - 1 downto 0 )
Signal

Definition at line 53 of file main_crt_vs_cp.vhd.

thrPat thPatL_type ( max_jems - 1 downto 0 )
Signal

Definition at line 59 of file main_crt_vs.vhd.

TOBs arr_TOB ( max_cps * max_tobs_pcp - 1 downto 0 )
Signal

Definition at line 64 of file main_crt_vs_cp.vhd.

TOBs arr_TOB ( ( max_jems * max_tobs_pjem ) - 1 downto 0 )
Signal

Definition at line 65 of file main_crt_vs.vhd.

xpos xpos_type ( max_jems - 1 downto 0 )
Signal

Definition at line 66 of file main_crt_vs.vhd.


The documentation for this class was generated from the following files: