CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Signals

tmp  std_logic_vector ( numbits - 1 downto 0 )

Detailed Description

Definition at line 38 of file or_all.vhd.

Member Data Documentation

tmp std_logic_vector ( numbits - 1 downto 0 )
Signal

Definition at line 39 of file or_all.vhd.


The documentation for this class was generated from the following file: