CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMM_Board_Select Entity Reference
Inheritance diagram for CMM_Board_Select:
CMX_BASE_VME_BSPT

Libraries

ieee 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Ports

addressbus   in std_logic_vector ( 23 downto 19 )
geoaddr_0   in std_logic
board_select_n   out std_logic

Detailed Description

Definition at line 24 of file cmm_board_select_rtl.vhd.

Member Data Documentation

addressbus in std_logic_vector ( 23 downto 19 )
Port

Definition at line 26 of file cmm_board_select_rtl.vhd.

board_select_n out std_logic
Port

Definition at line 28 of file cmm_board_select_rtl.vhd.

geoaddr_0 in std_logic
Port

Definition at line 27 of file cmm_board_select_rtl.vhd.

ieee
Library

Definition at line 20 of file cmm_board_select_rtl.vhd.

Definition at line 22 of file cmm_board_select_rtl.vhd.

Definition at line 21 of file cmm_board_select_rtl.vhd.


The documentation for this class was generated from the following file: