CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Signals

PDATA  std_logic_vector ( numbits_in_cable_connector - 1 downto 0 )
NDATA  std_logic_vector ( numbits_in_cable_connector - 1 downto 0 )
ddr_data_out_nondel  std_logic_vector ( numbits_in_cable_connector downto 0 )
i_pll_locked  std_logic

Instantiations

oddr_inst  oddr
oddr_inst  oddr

Detailed Description

Definition at line 40 of file CMX_cable_clocked_80Mbps_output_module.vhd.

Member Data Documentation

ddr_data_out_nondel std_logic_vector ( numbits_in_cable_connector downto 0 )
Signal

Definition at line 46 of file CMX_cable_clocked_80Mbps_output_module.vhd.

i_pll_locked std_logic
Signal

Definition at line 49 of file CMX_cable_clocked_80Mbps_output_module.vhd.

NDATA std_logic_vector ( numbits_in_cable_connector - 1 downto 0 )
Signal

Definition at line 44 of file CMX_cable_clocked_80Mbps_output_module.vhd.

oddr_inst oddr
Instantiation

Definition at line 80 of file CMX_cable_clocked_80Mbps_output_module.vhd.

oddr_inst oddr
Instantiation

Definition at line 98 of file CMX_cable_clocked_80Mbps_output_module.vhd.

PDATA std_logic_vector ( numbits_in_cable_connector - 1 downto 0 )
Signal

Definition at line 43 of file CMX_cable_clocked_80Mbps_output_module.vhd.


The documentation for this class was generated from the following file: