1 ----------------------------------------------------------------------------------
10 ----------------------------------------------------------------------------------
12 use IEEE.STD_LOGIC_1164.
ALL;
31 buf_clk200 : in ;
-- global 200 MHz clock for iodelay calibration
38 end CMX_cable_clocked_80Mbps_output_module;
55 --component CMX_output_data_delay
57 -- data_in : in mat_var(numactchan-1 downto 0);
58 -- data_out : out mat_var(numactchan-1 downto 0);
59 -- REF_CLK_200 : in std_logic;
60 -- REF_CLK_READY : in std_logic;
61 -- CLK_40 : in std_logic;
62 -- del_register : in del_register_type;
63 -- upload_delays : in std_logic;
64 -- IDELAYCTRL_RDY : out std_logic_vector(num_IDELAYCTRL-1 downto 0));
82 DDR_CLK_EDGE =>
"SAME_EDGE",
-- "OPPOSITE_EDGE" or "SAME_EDGE"
83 INIT => '0',
-- Initial value for Q port ('1' or '0')
84 SRTYPE =>
"SYNC") -- Reset Type ("ASYNC" or "SYNC")
88 CE => '1',
-- 1-bit clock enable input
89 D1 =>
PDATA(bitnum
),
-- 1-bit data input (positive edge)
90 D2 =>
NDATA(bitnum
),
-- 1-bit data input (negative edge)
92 S => '0'
-- 1-bit set input
97 --generate forwarded clock
100 DDR_CLK_EDGE =>
"SAME_EDGE",
-- "OPPOSITE_EDGE" or "SAME_EDGE"
101 INIT => '0',
-- Initial value for Q port ('1' or '0')
102 SRTYPE =>
"SYNC") -- Reset Type ("ASYNC" or "SYNC")
106 CE => '1',
-- 1-bit clock enable input
107 D1 => '1',
-- 1-bit data input (positive edge)
108 D2 => '0',
-- 1-bit data input (negative edge)
110 S => '0'
-- 1-bit set input
115 --for now no delay circuits
119 ----the output delay module
120 --CMX_output_data_delay_inst: CMX_output_data_delay
122 -- data_in => P_out_nondel,
123 -- data_out => P_out,
124 -- REF_CLK_200 => buf_clk200,
125 -- REF_CLK_READY => pll_locked,
126 -- CLK_40 => buf_clk40,
127 -- del_register => del_register,
128 -- upload_delays => upload_delays,
129 -- IDELAYCTRL_RDY => open);
131 --counter_enable_out<=counter_enable;
std_logic_vector (numbits_in_cable_connector - 1 downto 0) NDATA
std_logic_vector (numbits_in_cable_connector downto 0) ddr_data_out_nondel
in del_arraycable_del_array_type (numbits_in_cable_connector downto 0)
in buf_clk40_centerstd_logic
std_logic_vector (numbits_in_cable_connector - 1 downto 0) PDATA
out ddr_data_outstd_logic_vector (numbits_in_cable_connector downto 0)
numbits_in_cable_connectorinteger
in upload_delaysstd_logic
in datastd_logic_vector ((numbits_in_cable_connector * 2) - 1 downto 0)