CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMXpackage.vhd
Go to the documentation of this file.
1 
5 
6 library IEEE;
7 use IEEE.STD_LOGIC_1164.ALL;
8 use IEEE.NUMERIC_STD.ALL;
9 use IEEE.MATH_REAL.ALL;
10 
11 
12 package CMXpackage is
13 
14  constant version_common : std_logic_vector(15 downto 0):=x"F0A3";
15 
16  CONSTANT numactchan : integer := 16;
17  CONSTANT numbitsinchan : integer := 24;
18 
19 
20  constant VME_read_det_delay: integer := 42;
21 
22 
23  constant numbits_in_CTP_connector : integer := 31; --clock is not counted
24  constant numbits_in_RTM_connector : integer := 26; --clock is not counted
25 
26 
27 
28  type cable_del_array_type is array (integer range <>) of std_logic_vector(4 downto 0);
29 
30  TYPE mat_var is array (integer range <>) of std_logic_vector(numbitsinchan downto 0);
31  TYPE arr_word is ARRAY (integer range <>) of STD_LOGIC_VECTOR (numbitsinchan-1 downto 0);
32  TYPE arr_wordData is ARRAY (integer range <>) of STD_LOGIC_VECTOR (numbitsinchan-2 downto 0); --
33  -- one bit less (the last bit always carries parity for that word or for the
34  -- whole event
35  TYPE arr_4Xword is ARRAY (integer range <>) of STD_LOGIC_VECTOR ((numbitsinchan*4)-1 downto 0);
36  TYPE arr_2Xword is ARRAY (integer range <>) of STD_LOGIC_VECTOR ((numbitsinchan*2)-1 downto 0);
37  TYPE arr_315 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (314 downto 0);
38  TYPE arr_171 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (170 downto 0);
39  TYPE arr_99 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (98 downto 0);
40  TYPE arr_96 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (95 downto 0);
41  TYPE arr_64 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (63 downto 0);
42  TYPE arr_52 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (51 downto 0);
43  TYPE arr_48 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (47 downto 0);
44  TYPE arr_36 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (35 downto 0);
45  TYPE arr_35 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (34 downto 0);
46  TYPE arr_32 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (31 downto 0);
47  TYPE arr_31 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (30 downto 0);
48  TYPE arr_27 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (26 downto 0);
49  TYPE arr_26 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (25 downto 0);
50  TYPE arr_25 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (24 downto 0);
51  TYPE arr_24 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (23 downto 0);
52  TYPE arr_23 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (22 downto 0);
53  TYPE arr_20 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (19 downto 0);
54  TYPE arr_19 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (18 downto 0);
55  TYPE arr_18 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (17 downto 0);
56  TYPE arr_17 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (16 downto 0);
57  TYPE arr_16 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (15 downto 0);
58  TYPE arr_15 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (14 downto 0);
59  TYPE arr_12 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (11 downto 0);
60  TYPE arr_9 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (8 downto 0);
61  TYPE arr_8 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (7 downto 0);
62  TYPE arr_6 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (5 downto 0);
63  TYPE arr_5 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (4 downto 0);
64  TYPE arr_4 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (3 downto 0);
65  TYPE arr_3 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (2 downto 0);
66  TYPE arr_2 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (1 downto 0);
67  TYPE arr_1 is ARRAY (integer range <>) of STD_LOGIC_VECTOR (0 downto 0);
68 
69  TYPE arr_ctr_1bit is array (integer range <>) of unsigned(0 downto 0);
70  TYPE arr_ctr_2bit is array (integer range <>) of unsigned(1 downto 0);
71  TYPE arr_ctr_3bit is array (integer range <>) of unsigned(2 downto 0);
72  TYPE arr_ctr_4bit is array (integer range <>) of unsigned(3 downto 0);
73  TYPE arr_ctr_5bit is array (integer range <>) of unsigned(4 downto 0);
74  TYPE arr_ctr_6bit is array (integer range <>) of unsigned(5 downto 0);
75  TYPE arr_ctr_7bit is array (integer range <>) of unsigned(6 downto 0);
76  TYPE arr_ctr_8bit is array (integer range <>) of unsigned(7 downto 0);
77  TYPE arr_ctr_9bit is array (integer range <>) of unsigned(8 downto 0);
78  TYPE arr_ctr_10bit is array (integer range <>) of unsigned(9 downto 0);
79  TYPE arr_ctr_11bit is array (integer range <>) of unsigned(10 downto 0);
80  TYPE arr_ctr_12bit is array (integer range <>) of unsigned(11 downto 0);
81  TYPE arr_ctr_13bit is array (integer range <>) of unsigned(12 downto 0);
82  TYPE arr_ctr_14bit is array (integer range <>) of unsigned(13 downto 0);
83  TYPE arr_ctr_15bit is array (integer range <>) of unsigned(14 downto 0);
84  TYPE arr_ctr_16bit is array (integer range <>) of unsigned(15 downto 0);
85  TYPE arr_ctr_17bit is array (integer range <>) of unsigned(16 downto 0);
86  TYPE arr_ctr_18bit is array (integer range <>) of unsigned(17 downto 0);
87  TYPE arr_ctr_19bit is array (integer range <>) of unsigned(18 downto 0);
88  TYPE arr_ctr_20bit is array (integer range <>) of unsigned(19 downto 0);
89  TYPE arr_ctr_21bit is array (integer range <>) of unsigned(20 downto 0);
90  TYPE arr_ctr_22bit is array (integer range <>) of unsigned(21 downto 0);
91  TYPE arr_ctr_23bit is array (integer range <>) of unsigned(22 downto 0);
92  TYPE arr_ctr_24bit is array (integer range <>) of unsigned(23 downto 0);
93  TYPE arr_ctr_25bit is array (integer range <>) of unsigned(24 downto 0);
94  TYPE arr_ctr_26bit is array (integer range <>) of unsigned(25 downto 0);
95  TYPE arr_ctr_27bit is array (integer range <>) of unsigned(26 downto 0);
96  TYPE arr_ctr_28bit is array (integer range <>) of unsigned(27 downto 0);
97  TYPE arr_ctr_29bit is array (integer range <>) of unsigned(28 downto 0);
98  TYPE arr_ctr_30bit is array (integer range <>) of unsigned(29 downto 0);
99  TYPE arr_ctr_31bit is array (integer range <>) of unsigned(30 downto 0);
100  TYPE arr_ctr_32bit is array (integer range <>) of unsigned(31 downto 0);
101  TYPE arr_ctr_33bit is array (integer range <>) of unsigned(32 downto 0);
102  TYPE arr_ctr_34bit is array (integer range <>) of unsigned(33 downto 0);
103  TYPE arr_ctr_35bit is array (integer range <>) of unsigned(34 downto 0);
104  TYPE arr_ctr_36bit is array (integer range <>) of unsigned(35 downto 0);
105  TYPE arr_ctr_37bit is array (integer range <>) of unsigned(36 downto 0);
106  TYPE arr_ctr_38bit is array (integer range <>) of unsigned(37 downto 0);
107  TYPE arr_ctr_39bit is array (integer range <>) of unsigned(38 downto 0);
108  TYPE arr_ctr_40bit is array (integer range <>) of unsigned(39 downto 0);
109  TYPE arr_ctr_41bit is array (integer range <>) of unsigned(40 downto 0);
110  TYPE arr_ctr_42bit is array (integer range <>) of unsigned(41 downto 0);
111  TYPE arr_ctr_43bit is array (integer range <>) of unsigned(42 downto 0);
112  TYPE arr_ctr_44bit is array (integer range <>) of unsigned(43 downto 0);
113  TYPE arr_ctr_45bit is array (integer range <>) of unsigned(44 downto 0);
114  TYPE arr_ctr_46bit is array (integer range <>) of unsigned(45 downto 0);
115  TYPE arr_ctr_47bit is array (integer range <>) of unsigned(46 downto 0);
116  TYPE arr_ctr_48bit is array (integer range <>) of unsigned(47 downto 0);
117  TYPE arr_ctr_49bit is array (integer range <>) of unsigned(48 downto 0);
118  TYPE arr_ctr_50bit is array (integer range <>) of unsigned(49 downto 0);
119  TYPE arr_ctr_51bit is array (integer range <>) of unsigned(50 downto 0);
120  TYPE arr_ctr_52bit is array (integer range <>) of unsigned(51 downto 0);
121  TYPE arr_ctr_53bit is array (integer range <>) of unsigned(52 downto 0);
122  TYPE arr_ctr_54bit is array (integer range <>) of unsigned(53 downto 0);
123  TYPE arr_ctr_55bit is array (integer range <>) of unsigned(54 downto 0);
124  TYPE arr_ctr_56bit is array (integer range <>) of unsigned(55 downto 0);
125  TYPE arr_ctr_57bit is array (integer range <>) of unsigned(56 downto 0);
126  TYPE arr_ctr_58bit is array (integer range <>) of unsigned(57 downto 0);
127  TYPE arr_ctr_59bit is array (integer range <>) of unsigned(58 downto 0);
128  TYPE arr_ctr_60bit is array (integer range <>) of unsigned(59 downto 0);
129  TYPE arr_ctr_61bit is array (integer range <>) of unsigned(60 downto 0);
130  TYPE arr_ctr_62bit is array (integer range <>) of unsigned(61 downto 0);
131  TYPE arr_ctr_63bit is array (integer range <>) of unsigned(62 downto 0);
132  TYPE arr_ctr_64bit is array (integer range <>) of unsigned(63 downto 0);
133  TYPE arr_ctr_65bit is array (integer range <>) of unsigned(64 downto 0);
134  TYPE arr_ctr_66bit is array (integer range <>) of unsigned(65 downto 0);
135  TYPE arr_ctr_67bit is array (integer range <>) of unsigned(66 downto 0);
136  TYPE arr_ctr_68bit is array (integer range <>) of unsigned(67 downto 0);
137  TYPE arr_ctr_69bit is array (integer range <>) of unsigned(68 downto 0);
138  TYPE arr_ctr_70bit is array (integer range <>) of unsigned(69 downto 0);
139  TYPE arr_ctr_71bit is array (integer range <>) of unsigned(70 downto 0);
140  TYPE arr_ctr_72bit is array (integer range <>) of unsigned(71 downto 0);
141  TYPE arr_ctr_73bit is array (integer range <>) of unsigned(72 downto 0);
142  TYPE arr_ctr_74bit is array (integer range <>) of unsigned(73 downto 0);
143  TYPE arr_ctr_75bit is array (integer range <>) of unsigned(74 downto 0);
144  TYPE arr_ctr_76bit is array (integer range <>) of unsigned(75 downto 0);
145  TYPE arr_ctr_77bit is array (integer range <>) of unsigned(76 downto 0);
146  TYPE arr_ctr_78bit is array (integer range <>) of unsigned(77 downto 0);
147  TYPE arr_ctr_79bit is array (integer range <>) of unsigned(78 downto 0);
148  TYPE arr_ctr_80bit is array (integer range <>) of unsigned(79 downto 0);
149  TYPE arr_ctr_81bit is array (integer range <>) of unsigned(80 downto 0);
150  TYPE arr_ctr_82bit is array (integer range <>) of unsigned(81 downto 0);
151  TYPE arr_ctr_83bit is array (integer range <>) of unsigned(82 downto 0);
152  TYPE arr_ctr_84bit is array (integer range <>) of unsigned(83 downto 0);
153  TYPE arr_ctr_85bit is array (integer range <>) of unsigned(84 downto 0);
154  TYPE arr_ctr_86bit is array (integer range <>) of unsigned(85 downto 0);
155  TYPE arr_ctr_87bit is array (integer range <>) of unsigned(86 downto 0);
156  TYPE arr_ctr_88bit is array (integer range <>) of unsigned(87 downto 0);
157  TYPE arr_ctr_89bit is array (integer range <>) of unsigned(88 downto 0);
158  TYPE arr_ctr_90bit is array (integer range <>) of unsigned(89 downto 0);
159  TYPE arr_ctr_91bit is array (integer range <>) of unsigned(90 downto 0);
160  TYPE arr_ctr_92bit is array (integer range <>) of unsigned(91 downto 0);
161  TYPE arr_ctr_93bit is array (integer range <>) of unsigned(92 downto 0);
162  TYPE arr_ctr_94bit is array (integer range <>) of unsigned(93 downto 0);
163  TYPE arr_ctr_95bit is array (integer range <>) of unsigned(94 downto 0);
164  TYPE arr_ctr_96bit is array (integer range <>) of unsigned(95 downto 0);
165  TYPE arr_ctr_97bit is array (integer range <>) of unsigned(96 downto 0);
166  TYPE arr_ctr_98bit is array (integer range <>) of unsigned(97 downto 0);
167  TYPE arr_ctr_99bit is array (integer range <>) of unsigned(98 downto 0);
168  TYPE arr_ctr_100bit is array (integer range <>) of unsigned(99 downto 0);
169  TYPE arr_ctr_101bit is array (integer range <>) of unsigned(100 downto 0);
170  TYPE arr_ctr_102bit is array (integer range <>) of unsigned(101 downto 0);
171  TYPE arr_ctr_103bit is array (integer range <>) of unsigned(102 downto 0);
172  TYPE arr_ctr_104bit is array (integer range <>) of unsigned(103 downto 0);
173  TYPE arr_ctr_105bit is array (integer range <>) of unsigned(104 downto 0);
174  TYPE arr_ctr_106bit is array (integer range <>) of unsigned(105 downto 0);
175  TYPE arr_ctr_107bit is array (integer range <>) of unsigned(106 downto 0);
176  TYPE arr_ctr_108bit is array (integer range <>) of unsigned(107 downto 0);
177  TYPE arr_ctr_109bit is array (integer range <>) of unsigned(108 downto 0);
178  TYPE arr_ctr_110bit is array (integer range <>) of unsigned(109 downto 0);
179  TYPE arr_ctr_111bit is array (integer range <>) of unsigned(110 downto 0);
180  TYPE arr_ctr_112bit is array (integer range <>) of unsigned(111 downto 0);
181  TYPE arr_ctr_113bit is array (integer range <>) of unsigned(112 downto 0);
182  TYPE arr_ctr_114bit is array (integer range <>) of unsigned(113 downto 0);
183  TYPE arr_ctr_115bit is array (integer range <>) of unsigned(114 downto 0);
184 
185 
186  TYPE arr_sig_1bit is array (integer range <>) of signed(0 downto 0);
187  TYPE arr_sig_2bit is array (integer range <>) of signed(1 downto 0);
188  TYPE arr_sig_3bit is array (integer range <>) of signed(2 downto 0);
189  TYPE arr_sig_4bit is array (integer range <>) of signed(3 downto 0);
190  TYPE arr_sig_5bit is array (integer range <>) of signed(4 downto 0);
191  TYPE arr_sig_6bit is array (integer range <>) of signed(5 downto 0);
192  TYPE arr_sig_7bit is array (integer range <>) of signed(6 downto 0);
193  TYPE arr_sig_8bit is array (integer range <>) of signed(7 downto 0);
194  TYPE arr_sig_9bit is array (integer range <>) of signed(8 downto 0);
195  TYPE arr_sig_10bit is array (integer range <>) of signed(9 downto 0);
196  TYPE arr_sig_11bit is array (integer range <>) of signed(10 downto 0);
197  TYPE arr_sig_12bit is array (integer range <>) of signed(11 downto 0);
198  TYPE arr_sig_13bit is array (integer range <>) of signed(12 downto 0);
199  TYPE arr_sig_14bit is array (integer range <>) of signed(13 downto 0);
200  TYPE arr_sig_15bit is array (integer range <>) of signed(14 downto 0);
201  TYPE arr_sig_16bit is array (integer range <>) of signed(15 downto 0);
202  TYPE arr_sig_17bit is array (integer range <>) of signed(16 downto 0);
203  TYPE arr_sig_18bit is array (integer range <>) of signed(17 downto 0);
204  TYPE arr_sig_19bit is array (integer range <>) of signed(18 downto 0);
205  TYPE arr_sig_20bit is array (integer range <>) of signed(19 downto 0);
206  TYPE arr_sig_21bit is array (integer range <>) of signed(20 downto 0);
207  TYPE arr_sig_22bit is array (integer range <>) of signed(21 downto 0);
208  TYPE arr_sig_23bit is array (integer range <>) of signed(22 downto 0);
209  TYPE arr_sig_24bit is array (integer range <>) of signed(23 downto 0);
210  TYPE arr_sig_25bit is array (integer range <>) of signed(24 downto 0);
211  TYPE arr_sig_26bit is array (integer range <>) of signed(25 downto 0);
212  TYPE arr_sig_27bit is array (integer range <>) of signed(26 downto 0);
213  TYPE arr_sig_28bit is array (integer range <>) of signed(27 downto 0);
214  TYPE arr_sig_29bit is array (integer range <>) of signed(28 downto 0);
215  TYPE arr_sig_30bit is array (integer range <>) of signed(29 downto 0);
216  TYPE arr_sig_31bit is array (integer range <>) of signed(30 downto 0);
217  TYPE arr_sig_32bit is array (integer range <>) of signed(31 downto 0);
218  TYPE arr_sig_33bit is array (integer range <>) of signed(32 downto 0);
219  TYPE arr_sig_34bit is array (integer range <>) of signed(33 downto 0);
220  TYPE arr_sig_35bit is array (integer range <>) of signed(34 downto 0);
221  TYPE arr_sig_36bit is array (integer range <>) of signed(35 downto 0);
222  TYPE arr_sig_37bit is array (integer range <>) of signed(36 downto 0);
223  TYPE arr_sig_38bit is array (integer range <>) of signed(37 downto 0);
224  TYPE arr_sig_39bit is array (integer range <>) of signed(38 downto 0);
225  TYPE arr_sig_40bit is array (integer range <>) of signed(39 downto 0);
226  TYPE arr_sig_41bit is array (integer range <>) of signed(40 downto 0);
227  TYPE arr_sig_42bit is array (integer range <>) of signed(41 downto 0);
228  TYPE arr_sig_43bit is array (integer range <>) of signed(42 downto 0);
229  TYPE arr_sig_44bit is array (integer range <>) of signed(43 downto 0);
230  TYPE arr_sig_45bit is array (integer range <>) of signed(44 downto 0);
231  TYPE arr_sig_46bit is array (integer range <>) of signed(45 downto 0);
232  TYPE arr_sig_47bit is array (integer range <>) of signed(46 downto 0);
233  TYPE arr_sig_48bit is array (integer range <>) of signed(47 downto 0);
234  TYPE arr_sig_49bit is array (integer range <>) of signed(48 downto 0);
235  TYPE arr_sig_50bit is array (integer range <>) of signed(49 downto 0);
236  TYPE arr_sig_51bit is array (integer range <>) of signed(50 downto 0);
237  TYPE arr_sig_52bit is array (integer range <>) of signed(51 downto 0);
238  TYPE arr_sig_53bit is array (integer range <>) of signed(52 downto 0);
239  TYPE arr_sig_54bit is array (integer range <>) of signed(53 downto 0);
240  TYPE arr_sig_55bit is array (integer range <>) of signed(54 downto 0);
241  TYPE arr_sig_56bit is array (integer range <>) of signed(55 downto 0);
242  TYPE arr_sig_57bit is array (integer range <>) of signed(56 downto 0);
243  TYPE arr_sig_58bit is array (integer range <>) of signed(57 downto 0);
244  TYPE arr_sig_59bit is array (integer range <>) of signed(58 downto 0);
245  TYPE arr_sig_60bit is array (integer range <>) of signed(59 downto 0);
246  TYPE arr_sig_61bit is array (integer range <>) of signed(60 downto 0);
247  TYPE arr_sig_62bit is array (integer range <>) of signed(61 downto 0);
248  TYPE arr_sig_63bit is array (integer range <>) of signed(62 downto 0);
249  TYPE arr_sig_64bit is array (integer range <>) of signed(63 downto 0);
250  TYPE arr_sig_65bit is array (integer range <>) of signed(64 downto 0);
251  TYPE arr_sig_66bit is array (integer range <>) of signed(65 downto 0);
252  TYPE arr_sig_67bit is array (integer range <>) of signed(66 downto 0);
253  TYPE arr_sig_68bit is array (integer range <>) of signed(67 downto 0);
254  TYPE arr_sig_69bit is array (integer range <>) of signed(68 downto 0);
255  TYPE arr_sig_70bit is array (integer range <>) of signed(69 downto 0);
256  TYPE arr_sig_71bit is array (integer range <>) of signed(70 downto 0);
257  TYPE arr_sig_72bit is array (integer range <>) of signed(71 downto 0);
258  TYPE arr_sig_73bit is array (integer range <>) of signed(72 downto 0);
259  TYPE arr_sig_74bit is array (integer range <>) of signed(73 downto 0);
260  TYPE arr_sig_75bit is array (integer range <>) of signed(74 downto 0);
261  TYPE arr_sig_76bit is array (integer range <>) of signed(75 downto 0);
262  TYPE arr_sig_77bit is array (integer range <>) of signed(76 downto 0);
263  TYPE arr_sig_78bit is array (integer range <>) of signed(77 downto 0);
264  TYPE arr_sig_79bit is array (integer range <>) of signed(78 downto 0);
265  TYPE arr_sig_80bit is array (integer range <>) of signed(79 downto 0);
266  TYPE arr_sig_81bit is array (integer range <>) of signed(80 downto 0);
267  TYPE arr_sig_82bit is array (integer range <>) of signed(81 downto 0);
268  TYPE arr_sig_83bit is array (integer range <>) of signed(82 downto 0);
269  TYPE arr_sig_84bit is array (integer range <>) of signed(83 downto 0);
270  TYPE arr_sig_85bit is array (integer range <>) of signed(84 downto 0);
271  TYPE arr_sig_86bit is array (integer range <>) of signed(85 downto 0);
272  TYPE arr_sig_87bit is array (integer range <>) of signed(86 downto 0);
273  TYPE arr_sig_88bit is array (integer range <>) of signed(87 downto 0);
274  TYPE arr_sig_89bit is array (integer range <>) of signed(88 downto 0);
275  TYPE arr_sig_90bit is array (integer range <>) of signed(89 downto 0);
276  TYPE arr_sig_91bit is array (integer range <>) of signed(90 downto 0);
277  TYPE arr_sig_92bit is array (integer range <>) of signed(91 downto 0);
278  TYPE arr_sig_93bit is array (integer range <>) of signed(92 downto 0);
279  TYPE arr_sig_94bit is array (integer range <>) of signed(93 downto 0);
280  TYPE arr_sig_95bit is array (integer range <>) of signed(94 downto 0);
281  TYPE arr_sig_96bit is array (integer range <>) of signed(95 downto 0);
282  TYPE arr_sig_97bit is array (integer range <>) of signed(96 downto 0);
283  TYPE arr_sig_98bit is array (integer range <>) of signed(97 downto 0);
284  TYPE arr_sig_99bit is array (integer range <>) of signed(98 downto 0);
285  TYPE arr_sig_100bit is array (integer range <>) of signed(99 downto 0);
286  TYPE arr_sig_101bit is array (integer range <>) of signed(100 downto 0);
287  TYPE arr_sig_102bit is array (integer range <>) of signed(101 downto 0);
288  TYPE arr_sig_103bit is array (integer range <>) of signed(102 downto 0);
289  TYPE arr_sig_104bit is array (integer range <>) of signed(103 downto 0);
290  TYPE arr_sig_105bit is array (integer range <>) of signed(104 downto 0);
291  TYPE arr_sig_106bit is array (integer range <>) of signed(105 downto 0);
292  TYPE arr_sig_107bit is array (integer range <>) of signed(106 downto 0);
293  TYPE arr_sig_108bit is array (integer range <>) of signed(107 downto 0);
294  TYPE arr_sig_109bit is array (integer range <>) of signed(108 downto 0);
295  TYPE arr_sig_110bit is array (integer range <>) of signed(109 downto 0);
296  TYPE arr_sig_111bit is array (integer range <>) of signed(110 downto 0);
297  TYPE arr_sig_112bit is array (integer range <>) of signed(111 downto 0);
298  TYPE arr_sig_113bit is array (integer range <>) of signed(112 downto 0);
299  TYPE arr_sig_114bit is array (integer range <>) of signed(113 downto 0);
300  TYPE arr_sig_115bit is array (integer range <>) of signed(114 downto 0);
301 
302  --we always have two cables to the CTP
303  --clock is included on the
304  type arr_CTP is array(1 downto 0) of STD_LOGIC_VECTOR(numbits_in_CTP_connector downto 0);
305 
306  --different flavors of CMX send/receive different number of RTM cables
307  type arr_RTM is array(integer range <>) of STD_LOGIC_VECTOR(numbits_in_RTM_connector downto 0);
308 
309 
310  constant max_ctr32: unsigned(31 downto 0) := x"ffffffff";
311 
312  --TYPE logic_vector_1d is ARRAY (integer range <>) of std_logic;
313  TYPE logic_vector_2d is ARRAY (integer range <>,integer range <>) of std_logic;
314 
315 
316  TYPE del_register_type is ARRAY (numactchan - 1 downto 0,numbitsinchan downto 0) of STD_LOGIC_VECTOR (4 downto 0);
317  --this is for the delay values stored in the registers
318  --convention: last (5-bit) 'word' (x,24,4 downto 0) holds delay for the clkpar line
319 
320 
321  TYPE del_register_rtm_type is ARRAY (numbits_in_RTM_connector downto 0) of STD_LOGIC_VECTOR (4 downto 0);
322  --this is for the delay values stored in the registers
323  --convention: last (5-bit) 'word' (x,numbits_in_RTM_connector,4 downto 0) holds delay for the clkpar line
324  --the array is defined in pacage specific to the CMX type
325 
326 
327  -- names of the input/output text files for the test bench
328  constant DATAIN : string:=".\sources\datain.txt";
329  constant DATAOUT : string:=".\sources\dataout.txt";
330 
331  constant num_IDELAYCTRL : integer := 12; -- number of IDELAYCTRL circuits
332  -- needed for backplane inputs
333 
334  constant num_RTM_IDELAYCTRL : integer := 2; -- number of IDELAYCTRL circuits
335  -- needed for LVDS RTM inputs
336 
337 
338  --constant def_seed : integer := 9895704;
339 
340  --constant c_start0 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000001";
341  --constant c_start1 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000010";
342  --constant c_start2 : std_logic_vector(numbitsinchan-1 downto 0) := "100000000000000000000101";
343  --constant c_start3 : std_logic_vector(numbitsinchan-1 downto 0) := "100000000000000000000000";
344  --constant c_all0 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000000";
345 
346 
347  --constant BCID_reset_val : unsigned(11 downto 0) := to_unsigned(351,12);
348 
349 
350  constant num_GTX_groups : integer := 2; -- number of groups of adjacent GTX transmitters
351  constant num_GTX_per_group : integer := 12; --number of GTXs in a group
352  constant GTX_data_word_width : integer := 16; -- width of the data word
353  -- note if this is chnged
354  -- other parameters in the GTX
355  -- instantiation will
356  -- be invalid
357 
358  constant num_fifos_per_group : integer := 12; -- number of fifo components driving each GTX group
359 
361 
362  constant num_vio_groups: integer :=3; --vio cores are limited in how big the
363  --inputs and outputs can be (256) so
364  --divide into groups
365 
366  -- length of the array for L1 Topo TX module; -- 8 is the number of subticks in a BC
367  -- note that we are dealing with the 16 bit words. The K characters and the
368  -- BCID have to
369  -- be added by the time_multiplexer
371  (GTX_data_word_width) * 8) ;
373 
374 
375 
376  constant TX_time_multiplex_indata_length : integer :=
378 
379  constant TX_time_multiplex_odata_length : integer := 18;
380 
382 
384 
385  TYPE arr_time_multiplex_data_in is ARRAY (integer range <>) of STD_LOGIC_VECTOR (TX_time_multiplex_indata_length-1 downto 0);
386  TYPE arr_time_multiplex_data_out is ARRAY (integer range <>) of STD_LOGIC_VECTOR (TX_time_multiplex_odata_length-1 downto 0);
387 
388 
389  type arr_rd_data_count is array (integer range <>) of std_logic_vector(4 DOWNTO 0);
390  type arr_wr_data_count is array (integer range <>) of std_logic_vector(4 DOWNTO 0);
391 
392  type arr_GTX_data is array ((num_GTX_per_group*num_GTX_groups)-1 downto 0) of std_logic_vector(GTX_data_word_width-1 downto 0);
393  TYPE arr_2GTX_data is array ((num_GTX_per_group*num_GTX_groups)-1 downto 0) of std_logic_vector((2*GTX_data_word_width)-1 downto 0);
394 
395  constant rx_clk_source_offset :integer := 4;
396  -- which of the receivers to source the
397  -- fabric clock from, must be in the
398  -- central clock region in the group
399 
400  constant gen_RX : std_logic := '0'; -- if 0 the RX part will be powered
401  -- down and additional components not
402  -- generated
403 
404  constant gen_Topo_TX_chipscope: std_logic := '1'; --controls if the
405  --icon/ila/vio component
406  --will be instantiated
407 
408  TYPE long_unsigned_array is array (integer range <>) of unsigned(13 downto 0);
409  TYPE short_unsigned_array is array (integer range <>) of unsigned(4 downto 0);
410 
411 
412  constant not_gen_RX : std_logic := not gen_RX;
413  constant RXPOWERDOWN : std_logic_vector(1 downto 0):=not_gen_RX & not_gen_RX;
414 
415  --constant LOOPBACK : std_logic_vector(2 downto 0):="010";
416  constant LOOPBACK : std_logic_vector(2 downto 0):="000";
417 
418  --GTX MMCM configuration for 320MHz ref clock 6.4 Gbps line rate
419  constant gtx_mmcm_CLKFBOUT_MULT_F :real := 8.0;
420  constant gtx_mmcm_DIVCLK_DIVIDE :integer := 2;
421  constant gtx_mmcm_CLKIN1_PERIOD :real :=3.118;
422  constant gtx_mmcm_CLKOUT0_DIVIDE_F :real := 4.0;
423  -- Pll parameters
424  constant gtx_PLL_DIVSEL_FB :integer := 2;
425  constant gtx_PLL_DIVSEL_OUT :integer := 1;
426  constant gtx_PLL_DIVSEL_REF :integer := 1;
427  constant gtx_DIVSEL45_FB :integer := 5;
428  constant gtx_CLK25_DIVIDER :integer := 13;
429 
430  constant use_RX_elastic : BOOLEAN := TRUE;
431 
432 
433  ----GTX MMCM configuration for 125MHz ref clock 5.0 Gbps line rate
434  --constant gtx_mmcm_CLKFBOUT_MULT_F :real := 8.0;
435  --constant gtx_mmcm_DIVCLK_DIVIDE :integer := 1;
436  --constant gtx_mmcm_CLKIN1_PERIOD :real :=8.0;
437  --constant gtx_mmcm_CLKOUT0_DIVIDE_F :real := 4.0;
438  ---- Pll parameters
439  --constant gtx_PLL_DIVSEL_FB :integer := 4;
440  --constant gtx_PLL_DIVSEL_OUT :integer := 1;
441  --constant gtx_PLL_DIVSEL_REF :integer := 1;
442  --constant gtx_DIVSEL45_FB :integer := 5;
443  --constant gtx_CLK25_DIVIDER :integer := 5;
444 
445 
446 
447  type fiber_to_gtx_arr is array (0 to num_GTX_groups*num_GTX_per_group-1) of integer;
448 
449  constant fiber_to_gtx : fiber_to_gtx_arr := (11,0,10,1,8,3,9,2,7,4,6,5,23,12,22,13,20,15,21,14,19,16,18,17);
450 
451  type phase_shifts_arr is array (0 to numactchan - 1) of real;
452  --constant phase_shifts : phase_shifts_arr := (226.0,229.0,74.0,72.0,78.0,198.0,197.0,227.0,111.0,111.0,112.0,110.0,109.0,113.0,112.0,111.0);
453 -- constant phase_shifts : phase_shifts_arr := (186.42857142857100,
454 -- 192.85714285714300,
455 -- 90.00000000000000 ,
456 -- 83.57142857142860 ,
457 -- 90.00000000000000 ,
458 -- 96.42857142857140 ,
459 -- 205.71428571428600,
460 -- 205.71428571428600,
461 -- 199.2857142857140,
462 -- 96.42857142857140,
463 -- 205.7142857142860,
464 -- 96.42857142857140,
465 -- 83.57142857142860,
466 -- 90.00000000000000,
467 -- 90.00000000000000,
468 -- 90.00000000000000);
469 --
470 --
471 --this worked for the 1st pcb layout with 8 9 10 11 at the top left
472  --\289.28571428571400,--327.85714285714300,--360.00000000000000,
473  --\289.28571428571400,--327.85714285714300,--456.42857142857100, --379.28571428571400,
474  --\--321.42857142857100,--289.28571428571400,
475  --\--334.28571428571400,--289.28571428571400,
476  --\115.71428571428600,
477  --\109.28571428571400,
478  --\122.14285714285700,
479  --\250.71428571428600,--398.57142857142900,--507.85714285714300,--392.14285714285700,
480  --\257.14285714285700,--295.71428571428600,--372.85714285714300,
481  --\--321.42857142857100,--250.71428571428600,
482  --\--315.00000000000000,--257.14285714285700,
483  --\289.28571428571400,--327.85714285714300,--392.14285714285700,
484  --\160.71428571428600,
485  --\160.71428571428600,
486  --\167.14285714285700,
487  --\160.71428571428600,
488  --\160.71428571428600,
489  --\167.14285714285700,
490  --\167.14285714285700,
491  --\160.71428571428600);
492 
493 
494 -- this satisfies constraints for 6ns window - more balanced, needs route constraints
495  ---- 327.85714285714300,--360.00000000000000,
496  ---- 327.85714285714300,--456.42857142857100, --379.28571428571400,
497  ---- --321.42857142857100,--289.28571428571400,
498  ---- --334.28571428571400,--289.28571428571400,
499  ---- 115.71428571428600,
500  ---- 109.28571428571400,
501  ---- 122.14285714285700,
502  ---- 250.71428571428600,--398.57142857142900,--507.85714285714300,--392.14285714285700,
503  ---- 257.14285714285700,--295.71428571428600,--372.85714285714300,
504  ---- --321.42857142857100,--250.71428571428600,
505  ---- --315.00000000000000,--257.14285714285700,
506  ---- 327.85714285714300,--392.14285714285700,
507  ---- 160.71428571428600,
508  ---- 160.71428571428600,
509  ---- 167.14285714285700,
510  ---- 160.71428571428600,
511  ---- 160.71428571428600,
512  ---- 167.14285714285700,
513  ---- 167.14285714285700,
514  ---- 160.71428571428600);
515 
516 
517 
518  --this set satisfies constraints with 6ns window
519  --327.85714285714300,
520  --295.71428571428600,
521  --173.57142857142900,
522  --173.57142857142900,
523  --180.00000000000000,
524  --250.71428571428600,
525  --257.14285714285700,
526  --392.14285714285700,
527  --237.85714285714300,
528  --237.85714285714300,
529  --237.85714285714300,
530  --231.42857142857100,
531  --231.42857142857100,
532  --237.85714285714300,
533  --237.85714285714300,
534  --237.85714285714300);
535 
536  --returns the smaller number
537  function imin(i,j: integer) return integer is
538  variable smaller : integer;
539  begin
540  if i<j then
541  smaller:=i;
542  else
543  smaller:=j;
544  end if;
545  return smaller;
546  end function;
547 
548  --calculates rounded up log base 2
549  function ceil_log_2(i: natural) return natural is
550  begin
551  return natural(ceil(log2(real(i))));
552  end function;
553 
554  --calculates address port width
555  --if there is only one address the address port width is still 1
556  function addr_port_width(n_addresses : natural) return natural is
557  variable uncorrected_addr_width : natural;
558  variable corrected_addr_width : natural;
559  begin
560  uncorrected_addr_width := natural(ceil(log2(real(n_addresses))));
561  if n_addresses > 1 then
562  corrected_addr_width := uncorrected_addr_width;
563  else
564  corrected_addr_width := uncorrected_addr_width+1;
565  end if;
566  return corrected_addr_width;
567  end function;
568 
569  function f_log2 (x : natural) return natural is
570  variable i : natural;
571  begin
572  i := 0;
573  while (2**i <= x) and i < 31 loop
574  i := i + 1;
575  end loop;
576  return i;
577  end function;
578 
579 
580 end CMXpackage;
array ( integer range<> ) of unsigned (82 downto 0) arr_ctr_83bit
Definition: CMXpackage.vhd:151
array ( integer range<> ) of signed (100 downto 0) arr_sig_101bit
Definition: CMXpackage.vhd:286
array ( integer range<> ) of unsigned (69 downto 0) arr_ctr_70bit
Definition: CMXpackage.vhd:138
array ( integer range<> ) of unsigned (55 downto 0) arr_ctr_56bit
Definition: CMXpackage.vhd:124
array ( integer range<> ) of signed (102 downto 0) arr_sig_103bit
Definition: CMXpackage.vhd:288
std_logic :=not gen_RX not_gen_RX
Definition: CMXpackage.vhd:412
real :=8.0 gtx_mmcm_CLKFBOUT_MULT_F
Definition: CMXpackage.vhd:419
array ( integer range<> ) of STD_LOGIC_VECTOR (0 downto 0) arr_1
Definition: CMXpackage.vhd:67
array ( integer range<> ) of signed (108 downto 0) arr_sig_109bit
Definition: CMXpackage.vhd:294
array ( integer range<> ) of signed (11 downto 0) arr_sig_12bit
Definition: CMXpackage.vhd:197
array ( integer range<> ) of STD_LOGIC_VECTOR (314 downto 0) arr_315
Definition: CMXpackage.vhd:37
array ( integer range<> ) of signed (7 downto 0) arr_sig_8bit
Definition: CMXpackage.vhd:193
array ( integer range<> ) of unsigned (23 downto 0) arr_ctr_24bit
Definition: CMXpackage.vhd:92
array ( integer range<> ) of signed (34 downto 0) arr_sig_35bit
Definition: CMXpackage.vhd:220
array ( integer range<> ) of unsigned (109 downto 0) arr_ctr_110bit
Definition: CMXpackage.vhd:178
array ( integer range<> ) of unsigned (68 downto 0) arr_ctr_69bit
Definition: CMXpackage.vhd:137
integer imini,j,i,j,
Definition: CMXpackage.vhd:537
array (0 to numactchan - 1 ) of real phase_shifts_arr
Definition: CMXpackage.vhd:451
array ( integer range<> ) of signed (6 downto 0) arr_sig_7bit
Definition: CMXpackage.vhd:192
array ( integer range<> ) of signed (27 downto 0) arr_sig_28bit
Definition: CMXpackage.vhd:213
array ( integer range<> ) of signed (21 downto 0) arr_sig_22bit
Definition: CMXpackage.vhd:207
array ( integer range<> ) of signed (101 downto 0) arr_sig_102bit
Definition: CMXpackage.vhd:287
array ( integer range<> ) of signed (18 downto 0) arr_sig_19bit
Definition: CMXpackage.vhd:204
array ( integer range<> ) of signed (30 downto 0) arr_sig_31bit
Definition: CMXpackage.vhd:216
array ( integer range<> ) of signed (72 downto 0) arr_sig_73bit
Definition: CMXpackage.vhd:258
array ( integer range<> ) of signed (20 downto 0) arr_sig_21bit
Definition: CMXpackage.vhd:206
array ( integer range<> ) of signed (94 downto 0) arr_sig_95bit
Definition: CMXpackage.vhd:280
array ( integer range<> ) of signed (59 downto 0) arr_sig_60bit
Definition: CMXpackage.vhd:245
array ( integer range<> ) of signed (43 downto 0) arr_sig_44bit
Definition: CMXpackage.vhd:229
array ( integer range<> ) of unsigned (75 downto 0) arr_ctr_76bit
Definition: CMXpackage.vhd:144
array ( integer range<> ) of unsigned (44 downto 0) arr_ctr_45bit
Definition: CMXpackage.vhd:113
array ( integer range<> ) of signed (51 downto 0) arr_sig_52bit
Definition: CMXpackage.vhd:237
array ( integer range<> ) of std_logic_vector (4 downto 0) cable_del_array_type
Definition: CMXpackage.vhd:28
array ( integer range<> ) of unsigned (76 downto 0) arr_ctr_77bit
Definition: CMXpackage.vhd:145
array ( integer range<> ) of unsigned (31 downto 0) arr_ctr_32bit
Definition: CMXpackage.vhd:100
array ( integer range<> ) of unsigned (52 downto 0) arr_ctr_53bit
Definition: CMXpackage.vhd:121
array ( integer range<> ) of unsigned (35 downto 0) arr_ctr_36bit
Definition: CMXpackage.vhd:104
array ( integer range<> ) of signed (65 downto 0) arr_sig_66bit
Definition: CMXpackage.vhd:251
array ( integer range<> ) of unsigned (19 downto 0) arr_ctr_20bit
Definition: CMXpackage.vhd:88
array ( integer range<> ) of unsigned (16 downto 0) arr_ctr_17bit
Definition: CMXpackage.vhd:85
array ( integer range<> ) of unsigned (10 downto 0) arr_ctr_11bit
Definition: CMXpackage.vhd:79
array ( integer range<> ) of signed (26 downto 0) arr_sig_27bit
Definition: CMXpackage.vhd:212
array ( integer range<> ) of signed (110 downto 0) arr_sig_111bit
Definition: CMXpackage.vhd:296
array ( integer range<> ) of STD_LOGIC_VECTOR (26 downto 0) arr_27
Definition: CMXpackage.vhd:48
array ( integer range<> ) of unsigned (3 downto 0) arr_ctr_4bit
Definition: CMXpackage.vhd:72
array ( integer range<> ) of STD_LOGIC_VECTOR ((numbitsinchan * 2) - 1 downto 0 arr_2Xword)
Definition: CMXpackage.vhd:36
array ( integer range<> ) of unsigned (73 downto 0) arr_ctr_74bit
Definition: CMXpackage.vhd:142
array ( integer range<> ) of unsigned (38 downto 0) arr_ctr_39bit
Definition: CMXpackage.vhd:107
array ( integer range<> ) of STD_LOGIC_VECTOR (14 downto 0) arr_15
Definition: CMXpackage.vhd:58
array ( integer range<> ) of signed (91 downto 0) arr_sig_92bit
Definition: CMXpackage.vhd:277
array ( integer range<> ) of unsigned (43 downto 0) arr_ctr_44bit
Definition: CMXpackage.vhd:112
array ( integer range<> ) of signed (44 downto 0) arr_sig_45bit
Definition: CMXpackage.vhd:230
array ( integer range<> ) of unsigned (95 downto 0) arr_ctr_96bit
Definition: CMXpackage.vhd:164
array ( integer range<> ) of signed (4 downto 0) arr_sig_5bit
Definition: CMXpackage.vhd:190
integer :=TX_indata_length / (GTX_data_word_width) TX_num_words_in_indata
Definition: CMXpackage.vhd:372
array ( integer range<> ) of unsigned (103 downto 0) arr_ctr_104bit
Definition: CMXpackage.vhd:172
array ( integer range<> ) of signed (70 downto 0) arr_sig_71bit
Definition: CMXpackage.vhd:256
array ( integer range<> ) of unsigned (29 downto 0) arr_ctr_30bit
Definition: CMXpackage.vhd:98
array ( integer range<> ) of unsigned (89 downto 0) arr_ctr_90bit
Definition: CMXpackage.vhd:158
array ( integer range<> ) of unsigned (84 downto 0) arr_ctr_85bit
Definition: CMXpackage.vhd:153
array ( integer range<> ) of unsigned (107 downto 0) arr_ctr_108bit
Definition: CMXpackage.vhd:176
array ( integer range<> ) of signed (13 downto 0) arr_sig_14bit
Definition: CMXpackage.vhd:199
array ( integer range<> ) of signed (66 downto 0) arr_sig_67bit
Definition: CMXpackage.vhd:252
array ( integer range<> ) of STD_LOGIC_VECTOR (TX_time_multiplex_odata_length - 1 downto 0) arr_time_multiplex_data_out
Definition: CMXpackage.vhd:386
array ( integer range<> ) of signed (63 downto 0) arr_sig_64bit
Definition: CMXpackage.vhd:249
array ( integer range<> ) of unsigned (74 downto 0) arr_ctr_75bit
Definition: CMXpackage.vhd:143
array ( integer range<> ) of STD_LOGIC_VECTOR (numbitsinchan - 2 downto 0) arr_wordData
Definition: CMXpackage.vhd:32
array ( integer range<> ) of unsigned (41 downto 0) arr_ctr_42bit
Definition: CMXpackage.vhd:110
array ( integer range<> ) of unsigned (61 downto 0) arr_ctr_62bit
Definition: CMXpackage.vhd:130
array ( integer range<> ) of signed (19 downto 0) arr_sig_20bit
Definition: CMXpackage.vhd:205
array ( integer range<> ) of signed (95 downto 0) arr_sig_96bit
Definition: CMXpackage.vhd:281
array ( integer range<> ) of STD_LOGIC_VECTOR (19 downto 0) arr_20
Definition: CMXpackage.vhd:53
array ( integer range<> ) of STD_LOGIC_VECTOR (30 downto 0) arr_31
Definition: CMXpackage.vhd:47
array ( integer range<> ) of signed (79 downto 0) arr_sig_80bit
Definition: CMXpackage.vhd:265
array ( integer range<> ) of signed (54 downto 0) arr_sig_55bit
Definition: CMXpackage.vhd:240
array ( integer range<> ) of unsigned (59 downto 0) arr_ctr_60bit
Definition: CMXpackage.vhd:128
array ( integer range<> ) of unsigned (7 downto 0) arr_ctr_8bit
Definition: CMXpackage.vhd:76
array ( integer range<> ) of STD_LOGIC_VECTOR (51 downto 0) arr_52
Definition: CMXpackage.vhd:42
array ( integer range<> ) of signed (35 downto 0) arr_sig_36bit
Definition: CMXpackage.vhd:221
string :=".\sources\datain.txt" DATAIN
Definition: CMXpackage.vhd:328
array ( integer range<> ) of STD_LOGIC_VECTOR (170 downto 0) arr_171
Definition: CMXpackage.vhd:38
integer :=(num_GTX_groups * num_GTX_per_group * (GTX_data_word_width) * 8 TX_indata_length)
Definition: CMXpackage.vhd:370
array ( integer range<> ) of signed (90 downto 0) arr_sig_91bit
Definition: CMXpackage.vhd:276
array ( integer range<> ) of signed (50 downto 0) arr_sig_51bit
Definition: CMXpackage.vhd:236
integer :=13 gtx_CLK25_DIVIDER
Definition: CMXpackage.vhd:428
real :=4.0 gtx_mmcm_CLKOUT0_DIVIDE_F
Definition: CMXpackage.vhd:422
array ( integer range<> ) of unsigned (20 downto 0) arr_ctr_21bit
Definition: CMXpackage.vhd:89
array ( integer range<> ) of unsigned (78 downto 0) arr_ctr_79bit
Definition: CMXpackage.vhd:147
array ( integer range<> ) of unsigned (67 downto 0) arr_ctr_68bit
Definition: CMXpackage.vhd:136
array ( integer range<> ) of unsigned (83 downto 0) arr_ctr_84bit
Definition: CMXpackage.vhd:152
array ( integer range<> ) of signed (60 downto 0) arr_sig_61bit
Definition: CMXpackage.vhd:246
array ( integer range<> ) of unsigned (85 downto 0) arr_ctr_86bit
Definition: CMXpackage.vhd:154
integer :=42 VME_read_det_delay
Definition: CMXpackage.vhd:20
array ( integer range<> ) of unsigned (54 downto 0) arr_ctr_55bit
Definition: CMXpackage.vhd:123
integer :=5 gtx_DIVSEL45_FB
Definition: CMXpackage.vhd:427
integer :=12 num_GTX_per_group
Definition: CMXpackage.vhd:351
array ( integer range<> ) of signed (113 downto 0) arr_sig_114bit
Definition: CMXpackage.vhd:299
array ( integer range<> ) of unsigned (47 downto 0) arr_ctr_48bit
Definition: CMXpackage.vhd:116
array ( integer range<> ) of unsigned (90 downto 0) arr_ctr_91bit
Definition: CMXpackage.vhd:159
std_logic_vector (15 downto 0) :=x"F0A3" version_common
Definition: CMXpackage.vhd:14
array ( integer range<> ) of unsigned (48 downto 0) arr_ctr_49bit
Definition: CMXpackage.vhd:117
array ( integer range<> ) of unsigned (114 downto 0) arr_ctr_115bit
Definition: CMXpackage.vhd:183
integer :=24 numbitsinchan
Definition: CMXpackage.vhd:17
array ( integer range<> ) of unsigned (93 downto 0) arr_ctr_94bit
Definition: CMXpackage.vhd:162
array ( integer range<> ) of unsigned (108 downto 0) arr_ctr_109bit
Definition: CMXpackage.vhd:177
integer :=2 gtx_mmcm_DIVCLK_DIVIDE
Definition: CMXpackage.vhd:420
array ( integer range<> ) of signed (75 downto 0) arr_sig_76bit
Definition: CMXpackage.vhd:261
array ( integer range<> ) of STD_LOGIC_VECTOR (25 downto 0) arr_26
Definition: CMXpackage.vhd:49
array ( integer range<> ) of STD_LOGIC_VECTOR (24 downto 0) arr_25
Definition: CMXpackage.vhd:50
array ( integer range<> ) of unsigned (2 downto 0) arr_ctr_3bit
Definition: CMXpackage.vhd:71
array ( integer range<> ) of signed (55 downto 0) arr_sig_56bit
Definition: CMXpackage.vhd:241
real :=3.118 gtx_mmcm_CLKIN1_PERIOD
Definition: CMXpackage.vhd:421
array ( integer range<> ) of unsigned (92 downto 0) arr_ctr_93bit
Definition: CMXpackage.vhd:161
array ( integer range<> ) of signed (98 downto 0) arr_sig_99bit
Definition: CMXpackage.vhd:284
array ( integer range<> ) of unsigned (99 downto 0) arr_ctr_100bit
Definition: CMXpackage.vhd:168
integer :=16 numactchan
Definition: CMXpackage.vhd:16
array ( integer range<> ) of unsigned (30 downto 0) arr_ctr_31bit
Definition: CMXpackage.vhd:99
array ( integer range<> ) of signed (93 downto 0) arr_sig_94bit
Definition: CMXpackage.vhd:279
integer :=TX_fifo_indata_length TX_fifo_odata_length
Definition: CMXpackage.vhd:383
array ( integer range<> ) of signed (41 downto 0) arr_sig_42bit
Definition: CMXpackage.vhd:227
array ( integer range<> ) of unsigned (13 downto 0) long_unsigned_array
Definition: CMXpackage.vhd:408
array ( integer range<> ) of unsigned (39 downto 0) arr_ctr_40bit
Definition: CMXpackage.vhd:108
array ( integer range<> ) of signed (56 downto 0) arr_sig_57bit
Definition: CMXpackage.vhd:242
array ( integer range<> ) of unsigned (22 downto 0) arr_ctr_23bit
Definition: CMXpackage.vhd:91
array ( integer range<> ) of unsigned (25 downto 0) arr_ctr_26bit
Definition: CMXpackage.vhd:94
array ( integer range<> ) of signed (23 downto 0) arr_sig_24bit
Definition: CMXpackage.vhd:209
array ( integer range<> ) of signed (52 downto 0) arr_sig_53bit
Definition: CMXpackage.vhd:238
array ( integer range<> ) of unsigned (57 downto 0) arr_ctr_58bit
Definition: CMXpackage.vhd:126
array ( integer range<> ) of STD_LOGIC_VECTOR (11 downto 0) arr_12
Definition: CMXpackage.vhd:59
array ( integer range<> ) of STD_LOGIC_VECTOR (15 downto 0) arr_16
Definition: CMXpackage.vhd:57
array ( integer range<> ) of unsigned (104 downto 0) arr_ctr_105bit
Definition: CMXpackage.vhd:173
array ( integer range<> ) of signed (32 downto 0) arr_sig_33bit
Definition: CMXpackage.vhd:218
array ( integer range<> ) of signed (37 downto 0) arr_sig_38bit
Definition: CMXpackage.vhd:223
std_logic_vector (2 downto 0) :="000" LOOPBACK
Definition: CMXpackage.vhd:416
array ( integer range<> ) of signed (5 downto 0) arr_sig_6bit
Definition: CMXpackage.vhd:191
array ( integer range<> ) of unsigned (6 downto 0) arr_ctr_7bit
Definition: CMXpackage.vhd:75
array ( integer range<> ) of signed (114 downto 0) arr_sig_115bit
Definition: CMXpackage.vhd:300
array ( integer range<> ) of signed (22 downto 0) arr_sig_23bit
Definition: CMXpackage.vhd:208
array ( integer range<> ) of signed (104 downto 0) arr_sig_105bit
Definition: CMXpackage.vhd:290
array ( integer range<> ) of unsigned (28 downto 0) arr_ctr_29bit
Definition: CMXpackage.vhd:97
array ( integer range<> ) of signed (69 downto 0) arr_sig_70bit
Definition: CMXpackage.vhd:255
array ( integer range<> ) of signed (68 downto 0) arr_sig_69bit
Definition: CMXpackage.vhd:254
array ( integer range<> ) of unsigned (91 downto 0) arr_ctr_92bit
Definition: CMXpackage.vhd:160
array ( integer range<> ) of signed (9 downto 0) arr_sig_10bit
Definition: CMXpackage.vhd:195
array ( integer range<> ) of unsigned (66 downto 0) arr_ctr_67bit
Definition: CMXpackage.vhd:135
array ( integer range<> ) of unsigned (88 downto 0) arr_ctr_89bit
Definition: CMXpackage.vhd:157
array ( integer range<> ) of STD_LOGIC_VECTOR (3 downto 0) arr_4
Definition: CMXpackage.vhd:64
array ( integer range<> ) of signed (14 downto 0) arr_sig_15bit
Definition: CMXpackage.vhd:200
BOOLEAN :=TRUE use_RX_elastic
Definition: CMXpackage.vhd:430
array ( integer range<> ) of STD_LOGIC_VECTOR (47 downto 0) arr_48
Definition: CMXpackage.vhd:43
array ( integer range<> ) of unsigned (14 downto 0) arr_ctr_15bit
Definition: CMXpackage.vhd:83
array ( integer range<> ) of STD_LOGIC_VECTOR (TX_time_multiplex_indata_length - 1 downto 0) arr_time_multiplex_data_in
Definition: CMXpackage.vhd:385
array ( integer range<> ) of STD_LOGIC_VECTOR (63 downto 0) arr_64
Definition: CMXpackage.vhd:41
array ( integer range<> ) of unsigned (49 downto 0) arr_ctr_50bit
Definition: CMXpackage.vhd:118
array ( integer range<> ) of unsigned (58 downto 0) arr_ctr_59bit
Definition: CMXpackage.vhd:127
array ( integer range<> ) of signed (46 downto 0) arr_sig_47bit
Definition: CMXpackage.vhd:232
integer :=1 gtx_PLL_DIVSEL_REF
Definition: CMXpackage.vhd:426
array ( integer range<> ) of unsigned (12 downto 0) arr_ctr_13bit
Definition: CMXpackage.vhd:81
array ( integer range<> ) of signed (84 downto 0) arr_sig_85bit
Definition: CMXpackage.vhd:270
integer :=4 rx_clk_source_offset
Definition: CMXpackage.vhd:395
array ( integer range<> ) of signed (62 downto 0) arr_sig_63bit
Definition: CMXpackage.vhd:248
array ( integer range<> ) of signed (96 downto 0) arr_sig_97bit
Definition: CMXpackage.vhd:282
array ( integer range<> ) of STD_LOGIC_VECTOR ((numbitsinchan * 4) - 1 downto 0 arr_4Xword)
Definition: CMXpackage.vhd:35
array ( integer range<> ) of unsigned (101 downto 0) arr_ctr_102bit
Definition: CMXpackage.vhd:170
array ( integer range<> ) of signed (12 downto 0) arr_sig_13bit
Definition: CMXpackage.vhd:198
array ( integer range<> ) of unsigned (98 downto 0) arr_ctr_99bit
Definition: CMXpackage.vhd:167
array ( integer range<> ) of unsigned (71 downto 0) arr_ctr_72bit
Definition: CMXpackage.vhd:140
array ( integer range<> ) of signed (88 downto 0) arr_sig_89bit
Definition: CMXpackage.vhd:274
integer :=12 num_fifos_per_group
Definition: CMXpackage.vhd:358
array ( integer range<> ) of signed (45 downto 0) arr_sig_46bit
Definition: CMXpackage.vhd:231
array ( integer range<> ) of signed (2 downto 0) arr_sig_3bit
Definition: CMXpackage.vhd:188
array ( integer range<> ) of std_logic_vector (4 downto 0) arr_wr_data_count
Definition: CMXpackage.vhd:390
array ( integer range<> ) of unsigned (51 downto 0) arr_ctr_52bit
Definition: CMXpackage.vhd:120
array ( integer range<> ) of signed (78 downto 0) arr_sig_79bit
Definition: CMXpackage.vhd:264
integer :=16 GTX_data_word_width
Definition: CMXpackage.vhd:352
array ( integer range<> ) of signed (24 downto 0) arr_sig_25bit
Definition: CMXpackage.vhd:210
array ( integer range<> ) of signed (92 downto 0) arr_sig_93bit
Definition: CMXpackage.vhd:278
array ( integer range<> ) of unsigned (60 downto 0) arr_ctr_61bit
Definition: CMXpackage.vhd:129
array ( integer range<> ) of unsigned (106 downto 0) arr_ctr_107bit
Definition: CMXpackage.vhd:175
array ( integer range<> ) of signed (74 downto 0) arr_sig_75bit
Definition: CMXpackage.vhd:260
array ( integer range<> ) of unsigned (97 downto 0) arr_ctr_98bit
Definition: CMXpackage.vhd:166
array ( integer range<> ) of signed (36 downto 0) arr_sig_37bit
Definition: CMXpackage.vhd:222
array ((num_GTX_per_group * num_GTX_groups) - 1 downto 0 ) of std_logic_vector ((2 * GTX_data_word_width) - 1 downto 0 arr_2GTX_data)
Definition: CMXpackage.vhd:393
array ( integer range<> ) of STD_LOGIC_VECTOR (numbits_in_RTM_connector downto 0) arr_RTM
Definition: CMXpackage.vhd:307
array ( integer range<> ) of signed (49 downto 0) arr_sig_50bit
Definition: CMXpackage.vhd:235
array ( integer range<> ) of signed (112 downto 0) arr_sig_113bit
Definition: CMXpackage.vhd:298
array ( integer range<> ) of unsigned (72 downto 0) arr_ctr_73bit
Definition: CMXpackage.vhd:141
array ( integer range<> ) of unsigned (65 downto 0) arr_ctr_66bit
Definition: CMXpackage.vhd:134
array ( integer range<> ) of STD_LOGIC_VECTOR (2 downto 0) arr_3
Definition: CMXpackage.vhd:65
array ( integer range<> ) of unsigned (17 downto 0) arr_ctr_18bit
Definition: CMXpackage.vhd:86
array ( integer range<> ) of signed (29 downto 0) arr_sig_30bit
Definition: CMXpackage.vhd:215
array ( integer range<> ) of signed (10 downto 0) arr_sig_11bit
Definition: CMXpackage.vhd:196
array ( integer range<> ) of unsigned (100 downto 0) arr_ctr_101bit
Definition: CMXpackage.vhd:169
array ( integer range<> ) of signed (8 downto 0) arr_sig_9bit
Definition: CMXpackage.vhd:194
array ( integer range<> ) of signed (86 downto 0) arr_sig_87bit
Definition: CMXpackage.vhd:272
array ( integer range<> ) of signed (40 downto 0) arr_sig_41bit
Definition: CMXpackage.vhd:226
array ( integer range<> ) of unsigned (11 downto 0) arr_ctr_12bit
Definition: CMXpackage.vhd:80
array ( integer range<> ) of signed (77 downto 0) arr_sig_78bit
Definition: CMXpackage.vhd:263
integer :=1 gtx_PLL_DIVSEL_OUT
Definition: CMXpackage.vhd:425
array ( integer range<> ) of unsigned (4 downto 0) arr_ctr_5bit
Definition: CMXpackage.vhd:73
array ( integer range<> ) of unsigned (15 downto 0) arr_ctr_16bit
Definition: CMXpackage.vhd:84
array ( integer range<> ) of unsigned (40 downto 0) arr_ctr_41bit
Definition: CMXpackage.vhd:109
integer :=2 gtx_PLL_DIVSEL_FB
Definition: CMXpackage.vhd:424
array ( integer range<> ) of unsigned (33 downto 0) arr_ctr_34bit
Definition: CMXpackage.vhd:102
array ( integer range<> ) of unsigned (53 downto 0) arr_ctr_54bit
Definition: CMXpackage.vhd:122
array ( integer range<> ) of signed (15 downto 0) arr_sig_16bit
Definition: CMXpackage.vhd:201
array ( integer range<> ) of unsigned (50 downto 0) arr_ctr_51bit
Definition: CMXpackage.vhd:119
array ( integer range<> ) of STD_LOGIC_VECTOR (23 downto 0) arr_24
Definition: CMXpackage.vhd:51
array ( integer range<> ) of signed (42 downto 0) arr_sig_43bit
Definition: CMXpackage.vhd:228
array ( integer range<> ) of STD_LOGIC_VECTOR (5 downto 0) arr_6
Definition: CMXpackage.vhd:62
array ( integer range<> ) of unsigned (113 downto 0) arr_ctr_114bit
Definition: CMXpackage.vhd:182
array ( integer range<> ) of unsigned (63 downto 0) arr_ctr_64bit
Definition: CMXpackage.vhd:132
array ( integer range<> ) of unsigned (9 downto 0) arr_ctr_10bit
Definition: CMXpackage.vhd:78
integer :=3 num_vio_groups
Definition: CMXpackage.vhd:362
array ( integer range<> ) of signed (57 downto 0) arr_sig_58bit
Definition: CMXpackage.vhd:243
array ( integer range<> ) of unsigned (86 downto 0) arr_ctr_87bit
Definition: CMXpackage.vhd:155
array (1 downto 0 ) of STD_LOGIC_VECTOR (numbits_in_CTP_connector downto 0) arr_CTP
Definition: CMXpackage.vhd:304
integer :=18 TX_time_multiplex_odata_length
Definition: CMXpackage.vhd:379
array ( integer range<> ) of STD_LOGIC_VECTOR (18 downto 0) arr_19
Definition: CMXpackage.vhd:54
array ( integer range<> ) of STD_LOGIC_VECTOR (17 downto 0) arr_18
Definition: CMXpackage.vhd:55
integer :=2 num_RTM_IDELAYCTRL
Definition: CMXpackage.vhd:334
array (numactchan - 1 downto 0 ,numbitsinchan downto 0) of STD_LOGIC_VECTOR (4 downto 0) del_register_type
Definition: CMXpackage.vhd:316
array ( integer range<> ) of signed (83 downto 0) arr_sig_84bit
Definition: CMXpackage.vhd:269
fiber_to_gtx_arr := ( 11,0,10,1,8,3,9,2,7,4,6,5,23,12,22,13,20,15,21,14,19,16,18,17 ) fiber_to_gtx
Definition: CMXpackage.vhd:449
array ( integer range<> ) of unsigned (24 downto 0) arr_ctr_25bit
Definition: CMXpackage.vhd:93
array ( integer range<> ) of STD_LOGIC_VECTOR (16 downto 0) arr_17
Definition: CMXpackage.vhd:56
array ( integer range<> ) of signed (28 downto 0) arr_sig_29bit
Definition: CMXpackage.vhd:214
unsigned (31 downto 0) :=x"ffffffff" max_ctr32
Definition: CMXpackage.vhd:310
std_logic :='1' gen_Topo_TX_chipscope
Definition: CMXpackage.vhd:404
integer :=26 numbits_in_RTM_connector
Definition: CMXpackage.vhd:24
array ( integer range<> ) of signed (76 downto 0) arr_sig_77bit
Definition: CMXpackage.vhd:262
array ( integer range<> ) of signed (109 downto 0) arr_sig_110bit
Definition: CMXpackage.vhd:295
array ( integer range<> ) of signed (25 downto 0) arr_sig_26bit
Definition: CMXpackage.vhd:211
array ( integer range<> ) of signed (1 downto 0) arr_sig_2bit
Definition: CMXpackage.vhd:187
array ( integer range<> ) of unsigned (46 downto 0) arr_ctr_47bit
Definition: CMXpackage.vhd:115
array ( integer range<> ) of unsigned (26 downto 0) arr_ctr_27bit
Definition: CMXpackage.vhd:95
array ( integer range<> ) of STD_LOGIC_VECTOR (34 downto 0) arr_35
Definition: CMXpackage.vhd:45
array ( integer range<> ) of signed (105 downto 0) arr_sig_106bit
Definition: CMXpackage.vhd:291
array ( integer range<> ) of signed (73 downto 0) arr_sig_74bit
Definition: CMXpackage.vhd:259
array ( integer range<> ) of STD_LOGIC_VECTOR (98 downto 0) arr_99
Definition: CMXpackage.vhd:39
array ( integer range<> ) of unsigned (77 downto 0) arr_ctr_78bit
Definition: CMXpackage.vhd:146
array ( integer range<> ) of STD_LOGIC_VECTOR (1 downto 0) arr_2
Definition: CMXpackage.vhd:66
integer :=31 numbits_in_CTP_connector
Definition: CMXpackage.vhd:23
array ( integer range<> ) of STD_LOGIC_VECTOR (35 downto 0) arr_36
Definition: CMXpackage.vhd:44
array ( integer range<> ) of signed (16 downto 0) arr_sig_17bit
Definition: CMXpackage.vhd:202
array ( integer range<> ) of signed (17 downto 0) arr_sig_18bit
Definition: CMXpackage.vhd:203
array ( integer range<> ) of signed (80 downto 0) arr_sig_81bit
Definition: CMXpackage.vhd:266
array ( integer range<> ) of unsigned (18 downto 0) arr_ctr_19bit
Definition: CMXpackage.vhd:87
array ( integer range<> ) of signed (103 downto 0) arr_sig_104bit
Definition: CMXpackage.vhd:289
array ( integer range<> ) of unsigned (79 downto 0) arr_ctr_80bit
Definition: CMXpackage.vhd:148
array ( integer range<> ) of signed (99 downto 0) arr_sig_100bit
Definition: CMXpackage.vhd:285
array ( integer range<> ) of unsigned (5 downto 0) arr_ctr_6bit
Definition: CMXpackage.vhd:74
array ( integer range<> ) of unsigned (1 downto 0) arr_ctr_2bit
Definition: CMXpackage.vhd:70
array ( integer range<> ) of signed (64 downto 0) arr_sig_65bit
Definition: CMXpackage.vhd:250
array ( integer range<> ) of signed (106 downto 0) arr_sig_107bit
Definition: CMXpackage.vhd:292
array ( integer range<> ) of signed (48 downto 0) arr_sig_49bit
Definition: CMXpackage.vhd:234
array ( integer range<> ) of signed (58 downto 0) arr_sig_59bit
Definition: CMXpackage.vhd:244
std_logic_vector (1 downto 0) :=not_gen_RX & not_gen_RX RXPOWERDOWN
Definition: CMXpackage.vhd:413
array ( integer range<> ) of unsigned (105 downto 0) arr_ctr_106bit
Definition: CMXpackage.vhd:174
array ( integer range<> ) of unsigned (42 downto 0) arr_ctr_43bit
Definition: CMXpackage.vhd:111
array ( integer range<> ) of unsigned (4 downto 0) short_unsigned_array
Definition: CMXpackage.vhd:409
array ( integer range<> ) of signed (53 downto 0) arr_sig_54bit
Definition: CMXpackage.vhd:239
array ( integer range<> ) of signed (85 downto 0) arr_sig_86bit
Definition: CMXpackage.vhd:271
array ( integer range<> ) of unsigned (80 downto 0) arr_ctr_81bit
Definition: CMXpackage.vhd:149
array ( integer range<> ) of signed (111 downto 0) arr_sig_112bit
Definition: CMXpackage.vhd:297
array ( integer range<> ) of signed (71 downto 0) arr_sig_72bit
Definition: CMXpackage.vhd:257
array ( integer range<> ) of signed (89 downto 0) arr_sig_90bit
Definition: CMXpackage.vhd:275
array ( integer range<> ) of signed (38 downto 0) arr_sig_39bit
Definition: CMXpackage.vhd:224
array ( integer range<> ) of signed (0 downto 0) arr_sig_1bit
Definition: CMXpackage.vhd:186
array ( integer range<> ) of unsigned (111 downto 0) arr_ctr_112bit
Definition: CMXpackage.vhd:180
array ( integer range<> ) of unsigned (45 downto 0) arr_ctr_46bit
Definition: CMXpackage.vhd:114
array ( integer range<> ) of unsigned (87 downto 0) arr_ctr_88bit
Definition: CMXpackage.vhd:156
array ( integer range<> ) of std_logic_vector (4 downto 0) arr_rd_data_count
Definition: CMXpackage.vhd:389
array ((num_GTX_per_group * num_GTX_groups) - 1 downto 0 ) of std_logic_vector (GTX_data_word_width - 1 downto 0 arr_GTX_data)
Definition: CMXpackage.vhd:392
array ( integer range<> ) of signed (61 downto 0) arr_sig_62bit
Definition: CMXpackage.vhd:247
array ( integer range<> ) of signed (47 downto 0) arr_sig_48bit
Definition: CMXpackage.vhd:233
array ( integer range<> ) of unsigned (8 downto 0) arr_ctr_9bit
Definition: CMXpackage.vhd:77
array ( integer range<> ) of STD_LOGIC_VECTOR (95 downto 0) arr_96
Definition: CMXpackage.vhd:40
array ( integer range<> ) of STD_LOGIC_VECTOR (7 downto 0) arr_8
Definition: CMXpackage.vhd:61
array ( integer range<> ) of signed (87 downto 0) arr_sig_88bit
Definition: CMXpackage.vhd:273
array ( integer range<> ) of signed (82 downto 0) arr_sig_83bit
Definition: CMXpackage.vhd:268
array ( integer range<> ) of unsigned (21 downto 0) arr_ctr_22bit
Definition: CMXpackage.vhd:90
array ( integer range<> ) of signed (67 downto 0) arr_sig_68bit
Definition: CMXpackage.vhd:253
array ( integer range<> ) of unsigned (34 downto 0) arr_ctr_35bit
Definition: CMXpackage.vhd:103
string :=".\sources\dataout.txt" DATAOUT
Definition: CMXpackage.vhd:329
array ( integer range<> ) of unsigned (13 downto 0) arr_ctr_14bit
Definition: CMXpackage.vhd:82
array ( integer range<> ) of STD_LOGIC_VECTOR (22 downto 0) arr_23
Definition: CMXpackage.vhd:52
array ( integer range<> ) of signed (3 downto 0) arr_sig_4bit
Definition: CMXpackage.vhd:189
array ( integer range<> ) of STD_LOGIC_VECTOR (31 downto 0) arr_32
Definition: CMXpackage.vhd:46
array ( integer range<> ) of std_logic_vector (numbitsinchan downto 0) mat_var
Definition: CMXpackage.vhd:30
array ( integer range<> ) of unsigned (94 downto 0) arr_ctr_95bit
Definition: CMXpackage.vhd:163
array ( integer range<> ) of unsigned (81 downto 0) arr_ctr_82bit
Definition: CMXpackage.vhd:150
array ( integer range<> ) of signed (107 downto 0) arr_sig_108bit
Definition: CMXpackage.vhd:293
integer :=12 num_IDELAYCTRL
Definition: CMXpackage.vhd:331
array ( integer range<> , integer range<> ) of std_logic logic_vector_2d
Definition: CMXpackage.vhd:313
array ( integer range<> ) of unsigned (37 downto 0) arr_ctr_38bit
Definition: CMXpackage.vhd:106
array ( integer range<> ) of unsigned (36 downto 0) arr_ctr_37bit
Definition: CMXpackage.vhd:105
array (numbits_in_RTM_connector downto 0 ) of STD_LOGIC_VECTOR (4 downto 0) del_register_rtm_type
Definition: CMXpackage.vhd:321
integer :=2 num_GTX_groups
Definition: CMXpackage.vhd:350
array ( integer range<> ) of unsigned (27 downto 0) arr_ctr_28bit
Definition: CMXpackage.vhd:96
integer :=(TX_indata_length / (num_fifos_per_group * num_GTX_groups) TX_time_multiplex_indata_length)
Definition: CMXpackage.vhd:376
array ( integer range<> ) of unsigned (62 downto 0) arr_ctr_63bit
Definition: CMXpackage.vhd:131
std_logic :='0' gen_RX
Definition: CMXpackage.vhd:400
array ( integer range<> ) of signed (97 downto 0) arr_sig_98bit
Definition: CMXpackage.vhd:283
array ( integer range<> ) of unsigned (96 downto 0) arr_ctr_97bit
Definition: CMXpackage.vhd:165
array ( integer range<> ) of unsigned (64 downto 0) arr_ctr_65bit
Definition: CMXpackage.vhd:133
array ( integer range<> ) of unsigned (70 downto 0) arr_ctr_71bit
Definition: CMXpackage.vhd:139
array ( integer range<> ) of unsigned (112 downto 0) arr_ctr_113bit
Definition: CMXpackage.vhd:181
array ( integer range<> ) of signed (39 downto 0) arr_sig_40bit
Definition: CMXpackage.vhd:225
_library_ ieeeieee
Definition: CMX_VME_defs.vhd:8
array ( integer range<> ) of STD_LOGIC_VECTOR (8 downto 0) arr_9
Definition: CMXpackage.vhd:60
array ( integer range<> ) of unsigned (56 downto 0) arr_ctr_57bit
Definition: CMXpackage.vhd:125
array ( integer range<> ) of unsigned (102 downto 0) arr_ctr_103bit
Definition: CMXpackage.vhd:171
integer :=num_GTX_per_group / num_fifos_per_group num_GTX_per_fifo
Definition: CMXpackage.vhd:360
array ( integer range<> ) of STD_LOGIC_VECTOR (4 downto 0) arr_5
Definition: CMXpackage.vhd:63
array ( integer range<> ) of STD_LOGIC_VECTOR (numbitsinchan - 1 downto 0) arr_word
Definition: CMXpackage.vhd:31
array ( integer range<> ) of signed (81 downto 0) arr_sig_82bit
Definition: CMXpackage.vhd:267
integer :=TX_time_multiplex_odata_length TX_fifo_indata_length
Definition: CMXpackage.vhd:381
array ( integer range<> ) of unsigned (32 downto 0) arr_ctr_33bit
Definition: CMXpackage.vhd:101
array (0 to num_GTX_groups * num_GTX_per_group - 1 ) of integer fiber_to_gtx_arr)
Definition: CMXpackage.vhd:447
array ( integer range<> ) of unsigned (110 downto 0) arr_ctr_111bit
Definition: CMXpackage.vhd:179
array ( integer range<> ) of unsigned (0 downto 0) arr_ctr_1bit
Definition: CMXpackage.vhd:69
array ( integer range<> ) of signed (31 downto 0) arr_sig_32bit
Definition: CMXpackage.vhd:217
array ( integer range<> ) of signed (33 downto 0) arr_sig_34bit
Definition: CMXpackage.vhd:219