7 use IEEE.STD_LOGIC_1164.
ALL;
8 use IEEE.NUMERIC_STD.
ALL;
9 use IEEE.MATH_REAL.
ALL;
33 -- one bit less (the last bit always carries parity for that word or for the
37 TYPE arr_315 is ARRAY ( range <>) of (314 downto 0);
38 TYPE arr_171 is ARRAY ( range <>) of (170 downto 0);
39 TYPE arr_99 is ARRAY ( range <>) of (98 downto 0);
40 TYPE arr_96 is ARRAY ( range <>) of (95 downto 0);
41 TYPE arr_64 is ARRAY ( range <>) of (63 downto 0);
42 TYPE arr_52 is ARRAY ( range <>) of (51 downto 0);
43 TYPE arr_48 is ARRAY ( range <>) of (47 downto 0);
44 TYPE arr_36 is ARRAY ( range <>) of (35 downto 0);
45 TYPE arr_35 is ARRAY ( range <>) of (34 downto 0);
46 TYPE arr_32 is ARRAY ( range <>) of (31 downto 0);
47 TYPE arr_31 is ARRAY ( range <>) of (30 downto 0);
48 TYPE arr_27 is ARRAY ( range <>) of (26 downto 0);
49 TYPE arr_26 is ARRAY ( range <>) of (25 downto 0);
50 TYPE arr_25 is ARRAY ( range <>) of (24 downto 0);
51 TYPE arr_24 is ARRAY ( range <>) of (23 downto 0);
52 TYPE arr_23 is ARRAY ( range <>) of (22 downto 0);
53 TYPE arr_20 is ARRAY ( range <>) of (19 downto 0);
54 TYPE arr_19 is ARRAY ( range <>) of (18 downto 0);
55 TYPE arr_18 is ARRAY ( range <>) of (17 downto 0);
56 TYPE arr_17 is ARRAY ( range <>) of (16 downto 0);
57 TYPE arr_16 is ARRAY ( range <>) of (15 downto 0);
58 TYPE arr_15 is ARRAY ( range <>) of (14 downto 0);
59 TYPE arr_12 is ARRAY ( range <>) of (11 downto 0);
60 TYPE arr_9 is ARRAY ( range <>) of (8 downto 0);
61 TYPE arr_8 is ARRAY ( range <>) of (7 downto 0);
62 TYPE arr_6 is ARRAY ( range <>) of (5 downto 0);
63 TYPE arr_5 is ARRAY ( range <>) of (4 downto 0);
64 TYPE arr_4 is ARRAY ( range <>) of (3 downto 0);
65 TYPE arr_3 is ARRAY ( range <>) of (2 downto 0);
66 TYPE arr_2 is ARRAY ( range <>) of (1 downto 0);
67 TYPE arr_1 is ARRAY ( range <>) of (0 downto 0);
302 --we always have two cables to the CTP
303 --clock is included on the
306 --different flavors of CMX send/receive different number of RTM cables
312 --TYPE logic_vector_1d is ARRAY (integer range <>) of std_logic;
317 --this is for the delay values stored in the registers
318 --convention: last (5-bit) 'word' (x,24,4 downto 0) holds delay for the clkpar line
322 --this is for the delay values stored in the registers
323 --convention: last (5-bit) 'word' (x,numbits_in_RTM_connector,4 downto 0) holds delay for the clkpar line
324 --the array is defined in pacage specific to the CMX type
327 -- names of the input/output text files for the test bench
328 constant DATAIN : :=".\sources\datain.txt";
332 -- needed for backplane inputs
335 -- needed for LVDS RTM inputs
338 --constant def_seed : integer := 9895704;
340 --constant c_start0 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000001";
341 --constant c_start1 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000010";
342 --constant c_start2 : std_logic_vector(numbitsinchan-1 downto 0) := "100000000000000000000101";
343 --constant c_start3 : std_logic_vector(numbitsinchan-1 downto 0) := "100000000000000000000000";
344 --constant c_all0 : std_logic_vector(numbitsinchan-1 downto 0) := "000000000000000000000000";
347 --constant BCID_reset_val : unsigned(11 downto 0) := to_unsigned(351,12);
353 -- note if this is chnged
354 -- other parameters in the GTX
355 -- instantiation will
363 --inputs and outputs can be (256) so
366 -- length of the array for L1 Topo TX module; -- 8 is the number of subticks in a BC
367 -- note that we are dealing with the 16 bit words. The K characters and the
369 -- be added by the time_multiplexer
396 -- which of the receivers to source the
397 -- fabric clock from, must be in the
398 -- central clock region in the group
400 constant gen_RX : := '0';
-- if 0 the RX part will be powered
401 -- down and additional components not
405 --icon/ila/vio component
406 --will be instantiated
415 --constant LOOPBACK : std_logic_vector(2 downto 0):="010";
418 --GTX MMCM configuration for 320MHz ref clock 6.4 Gbps line rate
433 ----GTX MMCM configuration for 125MHz ref clock 5.0 Gbps line rate
434 --constant gtx_mmcm_CLKFBOUT_MULT_F :real := 8.0;
435 --constant gtx_mmcm_DIVCLK_DIVIDE :integer := 1;
436 --constant gtx_mmcm_CLKIN1_PERIOD :real :=8.0;
437 --constant gtx_mmcm_CLKOUT0_DIVIDE_F :real := 4.0;
439 --constant gtx_PLL_DIVSEL_FB :integer := 4;
440 --constant gtx_PLL_DIVSEL_OUT :integer := 1;
441 --constant gtx_PLL_DIVSEL_REF :integer := 1;
442 --constant gtx_DIVSEL45_FB :integer := 5;
443 --constant gtx_CLK25_DIVIDER :integer := 5;
449 constant fiber_to_gtx : fiber_to_gtx_arr := (11,0,10,1,8,3,9,2,7,4,6,5,23,12,22,13,20,15,21,14,19,16,18,17);
452 --constant phase_shifts : phase_shifts_arr := (226.0,229.0,74.0,72.0,78.0,198.0,197.0,227.0,111.0,111.0,112.0,110.0,109.0,113.0,112.0,111.0);
453 -- constant phase_shifts : phase_shifts_arr := (186.42857142857100,
454 -- 192.85714285714300,
455 -- 90.00000000000000 ,
456 -- 83.57142857142860 ,
457 -- 90.00000000000000 ,
458 -- 96.42857142857140 ,
459 -- 205.71428571428600,
460 -- 205.71428571428600,
461 -- 199.2857142857140,
462 -- 96.42857142857140,
463 -- 205.7142857142860,
464 -- 96.42857142857140,
465 -- 83.57142857142860,
466 -- 90.00000000000000,
467 -- 90.00000000000000,
468 -- 90.00000000000000);
471 --this worked for the 1st pcb layout with 8 9 10 11 at the top left
472 --\289.28571428571400,--327.85714285714300,--360.00000000000000,
473 --\289.28571428571400,--327.85714285714300,--456.42857142857100, --379.28571428571400,
474 --\--321.42857142857100,--289.28571428571400,
475 --\--334.28571428571400,--289.28571428571400,
476 --\115.71428571428600,
477 --\109.28571428571400,
478 --\122.14285714285700,
479 --\250.71428571428600,--398.57142857142900,--507.85714285714300,--392.14285714285700,
480 --\257.14285714285700,--295.71428571428600,--372.85714285714300,
481 --\--321.42857142857100,--250.71428571428600,
482 --\--315.00000000000000,--257.14285714285700,
483 --\289.28571428571400,--327.85714285714300,--392.14285714285700,
484 --\160.71428571428600,
485 --\160.71428571428600,
486 --\167.14285714285700,
487 --\160.71428571428600,
488 --\160.71428571428600,
489 --\167.14285714285700,
490 --\167.14285714285700,
491 --\160.71428571428600);
494 -- this satisfies constraints for 6ns window - more balanced, needs route constraints
495 ---- 327.85714285714300,--360.00000000000000,
496 ---- 327.85714285714300,--456.42857142857100, --379.28571428571400,
497 ---- --321.42857142857100,--289.28571428571400,
498 ---- --334.28571428571400,--289.28571428571400,
499 ---- 115.71428571428600,
500 ---- 109.28571428571400,
501 ---- 122.14285714285700,
502 ---- 250.71428571428600,--398.57142857142900,--507.85714285714300,--392.14285714285700,
503 ---- 257.14285714285700,--295.71428571428600,--372.85714285714300,
504 ---- --321.42857142857100,--250.71428571428600,
505 ---- --315.00000000000000,--257.14285714285700,
506 ---- 327.85714285714300,--392.14285714285700,
507 ---- 160.71428571428600,
508 ---- 160.71428571428600,
509 ---- 167.14285714285700,
510 ---- 160.71428571428600,
511 ---- 160.71428571428600,
512 ---- 167.14285714285700,
513 ---- 167.14285714285700,
514 ---- 160.71428571428600);
518 --this set satisfies constraints with 6ns window
519 --327.85714285714300,
520 --295.71428571428600,
521 --173.57142857142900,
522 --173.57142857142900,
523 --180.00000000000000,
524 --250.71428571428600,
525 --257.14285714285700,
526 --392.14285714285700,
527 --237.85714285714300,
528 --237.85714285714300,
529 --237.85714285714300,
530 --231.42857142857100,
531 --231.42857142857100,
532 --237.85714285714300,
533 --237.85714285714300,
534 --237.85714285714300);
536 --returns the smaller number
548 --calculates rounded up log base 2
549 function ceil_log_2(i: )
return is
551 return (ceil(log2((i))));
554 --calculates address port width
555 --if there is only one address the address port width is still 1
556 function addr_port_width(n_addresses : )
return is
557 variable uncorrected_addr_width : ;
558 variable corrected_addr_width : ;
560 uncorrected_addr_width := (ceil(log2((n_addresses))));
561 if n_addresses > 1 then
562 corrected_addr_width := uncorrected_addr_width;
564 corrected_addr_width := uncorrected_addr_width+1;
566 return corrected_addr_width;
569 function f_log2 (x : )
return is
573 while (2**i <= x) and i < 31 loop
array ( integer range<> ) of unsigned (82 downto 0) arr_ctr_83bit
array ( integer range<> ) of signed (100 downto 0) arr_sig_101bit
array ( integer range<> ) of unsigned (69 downto 0) arr_ctr_70bit
array ( integer range<> ) of unsigned (55 downto 0) arr_ctr_56bit
array ( integer range<> ) of signed (102 downto 0) arr_sig_103bit
std_logic :=not gen_RX not_gen_RX
real :=8.0 gtx_mmcm_CLKFBOUT_MULT_F
array ( integer range<> ) of STD_LOGIC_VECTOR (0 downto 0) arr_1
array ( integer range<> ) of signed (108 downto 0) arr_sig_109bit
array ( integer range<> ) of signed (11 downto 0) arr_sig_12bit
array ( integer range<> ) of STD_LOGIC_VECTOR (314 downto 0) arr_315
array ( integer range<> ) of signed (7 downto 0) arr_sig_8bit
array ( integer range<> ) of unsigned (23 downto 0) arr_ctr_24bit
array ( integer range<> ) of signed (34 downto 0) arr_sig_35bit
array ( integer range<> ) of unsigned (109 downto 0) arr_ctr_110bit
array ( integer range<> ) of unsigned (68 downto 0) arr_ctr_69bit
array (0 to numactchan - 1 ) of real phase_shifts_arr
array ( integer range<> ) of signed (6 downto 0) arr_sig_7bit
array ( integer range<> ) of signed (27 downto 0) arr_sig_28bit
array ( integer range<> ) of signed (21 downto 0) arr_sig_22bit
array ( integer range<> ) of signed (101 downto 0) arr_sig_102bit
array ( integer range<> ) of signed (18 downto 0) arr_sig_19bit
array ( integer range<> ) of signed (30 downto 0) arr_sig_31bit
array ( integer range<> ) of signed (72 downto 0) arr_sig_73bit
array ( integer range<> ) of signed (20 downto 0) arr_sig_21bit
array ( integer range<> ) of signed (94 downto 0) arr_sig_95bit
array ( integer range<> ) of signed (59 downto 0) arr_sig_60bit
array ( integer range<> ) of signed (43 downto 0) arr_sig_44bit
array ( integer range<> ) of unsigned (75 downto 0) arr_ctr_76bit
array ( integer range<> ) of unsigned (44 downto 0) arr_ctr_45bit
array ( integer range<> ) of signed (51 downto 0) arr_sig_52bit
array ( integer range<> ) of std_logic_vector (4 downto 0) cable_del_array_type
array ( integer range<> ) of unsigned (76 downto 0) arr_ctr_77bit
array ( integer range<> ) of unsigned (31 downto 0) arr_ctr_32bit
array ( integer range<> ) of unsigned (52 downto 0) arr_ctr_53bit
array ( integer range<> ) of unsigned (35 downto 0) arr_ctr_36bit
array ( integer range<> ) of signed (65 downto 0) arr_sig_66bit
array ( integer range<> ) of unsigned (19 downto 0) arr_ctr_20bit
array ( integer range<> ) of unsigned (16 downto 0) arr_ctr_17bit
array ( integer range<> ) of unsigned (10 downto 0) arr_ctr_11bit
array ( integer range<> ) of signed (26 downto 0) arr_sig_27bit
array ( integer range<> ) of signed (110 downto 0) arr_sig_111bit
array ( integer range<> ) of STD_LOGIC_VECTOR (26 downto 0) arr_27
array ( integer range<> ) of unsigned (3 downto 0) arr_ctr_4bit
array ( integer range<> ) of STD_LOGIC_VECTOR ((numbitsinchan * 2) - 1 downto 0 arr_2Xword)
array ( integer range<> ) of unsigned (73 downto 0) arr_ctr_74bit
array ( integer range<> ) of unsigned (38 downto 0) arr_ctr_39bit
array ( integer range<> ) of STD_LOGIC_VECTOR (14 downto 0) arr_15
array ( integer range<> ) of signed (91 downto 0) arr_sig_92bit
array ( integer range<> ) of unsigned (43 downto 0) arr_ctr_44bit
array ( integer range<> ) of signed (44 downto 0) arr_sig_45bit
array ( integer range<> ) of unsigned (95 downto 0) arr_ctr_96bit
array ( integer range<> ) of signed (4 downto 0) arr_sig_5bit
integer :=TX_indata_length / (GTX_data_word_width) TX_num_words_in_indata
array ( integer range<> ) of unsigned (103 downto 0) arr_ctr_104bit
array ( integer range<> ) of signed (70 downto 0) arr_sig_71bit
array ( integer range<> ) of unsigned (29 downto 0) arr_ctr_30bit
array ( integer range<> ) of unsigned (89 downto 0) arr_ctr_90bit
array ( integer range<> ) of unsigned (84 downto 0) arr_ctr_85bit
array ( integer range<> ) of unsigned (107 downto 0) arr_ctr_108bit
array ( integer range<> ) of signed (13 downto 0) arr_sig_14bit
array ( integer range<> ) of signed (66 downto 0) arr_sig_67bit
array ( integer range<> ) of STD_LOGIC_VECTOR (TX_time_multiplex_odata_length - 1 downto 0) arr_time_multiplex_data_out
array ( integer range<> ) of signed (63 downto 0) arr_sig_64bit
array ( integer range<> ) of unsigned (74 downto 0) arr_ctr_75bit
array ( integer range<> ) of STD_LOGIC_VECTOR (numbitsinchan - 2 downto 0) arr_wordData
array ( integer range<> ) of unsigned (41 downto 0) arr_ctr_42bit
array ( integer range<> ) of unsigned (61 downto 0) arr_ctr_62bit
array ( integer range<> ) of signed (19 downto 0) arr_sig_20bit
array ( integer range<> ) of signed (95 downto 0) arr_sig_96bit
array ( integer range<> ) of STD_LOGIC_VECTOR (19 downto 0) arr_20
array ( integer range<> ) of STD_LOGIC_VECTOR (30 downto 0) arr_31
array ( integer range<> ) of signed (79 downto 0) arr_sig_80bit
array ( integer range<> ) of signed (54 downto 0) arr_sig_55bit
array ( integer range<> ) of unsigned (59 downto 0) arr_ctr_60bit
array ( integer range<> ) of unsigned (7 downto 0) arr_ctr_8bit
array ( integer range<> ) of STD_LOGIC_VECTOR (51 downto 0) arr_52
array ( integer range<> ) of signed (35 downto 0) arr_sig_36bit
string :=".\sources\datain.txt" DATAIN
array ( integer range<> ) of STD_LOGIC_VECTOR (170 downto 0) arr_171
integer :=(num_GTX_groups * num_GTX_per_group * (GTX_data_word_width) * 8 TX_indata_length)
array ( integer range<> ) of signed (90 downto 0) arr_sig_91bit
array ( integer range<> ) of signed (50 downto 0) arr_sig_51bit
integer :=13 gtx_CLK25_DIVIDER
real :=4.0 gtx_mmcm_CLKOUT0_DIVIDE_F
array ( integer range<> ) of unsigned (20 downto 0) arr_ctr_21bit
array ( integer range<> ) of unsigned (78 downto 0) arr_ctr_79bit
array ( integer range<> ) of unsigned (67 downto 0) arr_ctr_68bit
array ( integer range<> ) of unsigned (83 downto 0) arr_ctr_84bit
array ( integer range<> ) of signed (60 downto 0) arr_sig_61bit
array ( integer range<> ) of unsigned (85 downto 0) arr_ctr_86bit
integer :=42 VME_read_det_delay
array ( integer range<> ) of unsigned (54 downto 0) arr_ctr_55bit
integer :=5 gtx_DIVSEL45_FB
integer :=12 num_GTX_per_group
array ( integer range<> ) of signed (113 downto 0) arr_sig_114bit
array ( integer range<> ) of unsigned (47 downto 0) arr_ctr_48bit
array ( integer range<> ) of unsigned (90 downto 0) arr_ctr_91bit
std_logic_vector (15 downto 0) :=x"F0A3" version_common
array ( integer range<> ) of unsigned (48 downto 0) arr_ctr_49bit
array ( integer range<> ) of unsigned (114 downto 0) arr_ctr_115bit
integer :=24 numbitsinchan
array ( integer range<> ) of unsigned (93 downto 0) arr_ctr_94bit
array ( integer range<> ) of unsigned (108 downto 0) arr_ctr_109bit
integer :=2 gtx_mmcm_DIVCLK_DIVIDE
array ( integer range<> ) of signed (75 downto 0) arr_sig_76bit
array ( integer range<> ) of STD_LOGIC_VECTOR (25 downto 0) arr_26
array ( integer range<> ) of STD_LOGIC_VECTOR (24 downto 0) arr_25
array ( integer range<> ) of unsigned (2 downto 0) arr_ctr_3bit
array ( integer range<> ) of signed (55 downto 0) arr_sig_56bit
real :=3.118 gtx_mmcm_CLKIN1_PERIOD
array ( integer range<> ) of unsigned (92 downto 0) arr_ctr_93bit
array ( integer range<> ) of signed (98 downto 0) arr_sig_99bit
array ( integer range<> ) of unsigned (99 downto 0) arr_ctr_100bit
array ( integer range<> ) of unsigned (30 downto 0) arr_ctr_31bit
array ( integer range<> ) of signed (93 downto 0) arr_sig_94bit
integer :=TX_fifo_indata_length TX_fifo_odata_length
array ( integer range<> ) of signed (41 downto 0) arr_sig_42bit
array ( integer range<> ) of unsigned (13 downto 0) long_unsigned_array
array ( integer range<> ) of unsigned (39 downto 0) arr_ctr_40bit
array ( integer range<> ) of signed (56 downto 0) arr_sig_57bit
array ( integer range<> ) of unsigned (22 downto 0) arr_ctr_23bit
array ( integer range<> ) of unsigned (25 downto 0) arr_ctr_26bit
array ( integer range<> ) of signed (23 downto 0) arr_sig_24bit
array ( integer range<> ) of signed (52 downto 0) arr_sig_53bit
array ( integer range<> ) of unsigned (57 downto 0) arr_ctr_58bit
array ( integer range<> ) of STD_LOGIC_VECTOR (11 downto 0) arr_12
array ( integer range<> ) of STD_LOGIC_VECTOR (15 downto 0) arr_16
array ( integer range<> ) of unsigned (104 downto 0) arr_ctr_105bit
array ( integer range<> ) of signed (32 downto 0) arr_sig_33bit
array ( integer range<> ) of signed (37 downto 0) arr_sig_38bit
std_logic_vector (2 downto 0) :="000" LOOPBACK
array ( integer range<> ) of signed (5 downto 0) arr_sig_6bit
array ( integer range<> ) of unsigned (6 downto 0) arr_ctr_7bit
array ( integer range<> ) of signed (114 downto 0) arr_sig_115bit
array ( integer range<> ) of signed (22 downto 0) arr_sig_23bit
array ( integer range<> ) of signed (104 downto 0) arr_sig_105bit
array ( integer range<> ) of unsigned (28 downto 0) arr_ctr_29bit
array ( integer range<> ) of signed (69 downto 0) arr_sig_70bit
array ( integer range<> ) of signed (68 downto 0) arr_sig_69bit
array ( integer range<> ) of unsigned (91 downto 0) arr_ctr_92bit
array ( integer range<> ) of signed (9 downto 0) arr_sig_10bit
array ( integer range<> ) of unsigned (66 downto 0) arr_ctr_67bit
array ( integer range<> ) of unsigned (88 downto 0) arr_ctr_89bit
array ( integer range<> ) of STD_LOGIC_VECTOR (3 downto 0) arr_4
array ( integer range<> ) of signed (14 downto 0) arr_sig_15bit
BOOLEAN :=TRUE use_RX_elastic
array ( integer range<> ) of STD_LOGIC_VECTOR (47 downto 0) arr_48
array ( integer range<> ) of unsigned (14 downto 0) arr_ctr_15bit
array ( integer range<> ) of STD_LOGIC_VECTOR (TX_time_multiplex_indata_length - 1 downto 0) arr_time_multiplex_data_in
array ( integer range<> ) of STD_LOGIC_VECTOR (63 downto 0) arr_64
array ( integer range<> ) of unsigned (49 downto 0) arr_ctr_50bit
array ( integer range<> ) of unsigned (58 downto 0) arr_ctr_59bit
array ( integer range<> ) of signed (46 downto 0) arr_sig_47bit
integer :=1 gtx_PLL_DIVSEL_REF
array ( integer range<> ) of unsigned (12 downto 0) arr_ctr_13bit
array ( integer range<> ) of signed (84 downto 0) arr_sig_85bit
integer :=4 rx_clk_source_offset
array ( integer range<> ) of signed (62 downto 0) arr_sig_63bit
array ( integer range<> ) of signed (96 downto 0) arr_sig_97bit
array ( integer range<> ) of STD_LOGIC_VECTOR ((numbitsinchan * 4) - 1 downto 0 arr_4Xword)
array ( integer range<> ) of unsigned (101 downto 0) arr_ctr_102bit
array ( integer range<> ) of signed (12 downto 0) arr_sig_13bit
array ( integer range<> ) of unsigned (98 downto 0) arr_ctr_99bit
array ( integer range<> ) of unsigned (71 downto 0) arr_ctr_72bit
array ( integer range<> ) of signed (88 downto 0) arr_sig_89bit
integer :=12 num_fifos_per_group
array ( integer range<> ) of signed (45 downto 0) arr_sig_46bit
array ( integer range<> ) of signed (2 downto 0) arr_sig_3bit
array ( integer range<> ) of std_logic_vector (4 downto 0) arr_wr_data_count
array ( integer range<> ) of unsigned (51 downto 0) arr_ctr_52bit
array ( integer range<> ) of signed (78 downto 0) arr_sig_79bit
integer :=16 GTX_data_word_width
array ( integer range<> ) of signed (24 downto 0) arr_sig_25bit
array ( integer range<> ) of signed (92 downto 0) arr_sig_93bit
array ( integer range<> ) of unsigned (60 downto 0) arr_ctr_61bit
array ( integer range<> ) of unsigned (106 downto 0) arr_ctr_107bit
array ( integer range<> ) of signed (74 downto 0) arr_sig_75bit
array ( integer range<> ) of unsigned (97 downto 0) arr_ctr_98bit
array ( integer range<> ) of signed (36 downto 0) arr_sig_37bit
array ((num_GTX_per_group * num_GTX_groups) - 1 downto 0 ) of std_logic_vector ((2 * GTX_data_word_width) - 1 downto 0 arr_2GTX_data)
array ( integer range<> ) of STD_LOGIC_VECTOR (numbits_in_RTM_connector downto 0) arr_RTM
array ( integer range<> ) of signed (49 downto 0) arr_sig_50bit
array ( integer range<> ) of signed (112 downto 0) arr_sig_113bit
array ( integer range<> ) of unsigned (72 downto 0) arr_ctr_73bit
array ( integer range<> ) of unsigned (65 downto 0) arr_ctr_66bit
array ( integer range<> ) of STD_LOGIC_VECTOR (2 downto 0) arr_3
array ( integer range<> ) of unsigned (17 downto 0) arr_ctr_18bit
array ( integer range<> ) of signed (29 downto 0) arr_sig_30bit
array ( integer range<> ) of signed (10 downto 0) arr_sig_11bit
array ( integer range<> ) of unsigned (100 downto 0) arr_ctr_101bit
array ( integer range<> ) of signed (8 downto 0) arr_sig_9bit
array ( integer range<> ) of signed (86 downto 0) arr_sig_87bit
array ( integer range<> ) of signed (40 downto 0) arr_sig_41bit
array ( integer range<> ) of unsigned (11 downto 0) arr_ctr_12bit
array ( integer range<> ) of signed (77 downto 0) arr_sig_78bit
integer :=1 gtx_PLL_DIVSEL_OUT
array ( integer range<> ) of unsigned (4 downto 0) arr_ctr_5bit
array ( integer range<> ) of unsigned (15 downto 0) arr_ctr_16bit
array ( integer range<> ) of unsigned (40 downto 0) arr_ctr_41bit
integer :=2 gtx_PLL_DIVSEL_FB
array ( integer range<> ) of unsigned (33 downto 0) arr_ctr_34bit
array ( integer range<> ) of unsigned (53 downto 0) arr_ctr_54bit
array ( integer range<> ) of signed (15 downto 0) arr_sig_16bit
array ( integer range<> ) of unsigned (50 downto 0) arr_ctr_51bit
array ( integer range<> ) of STD_LOGIC_VECTOR (23 downto 0) arr_24
array ( integer range<> ) of signed (42 downto 0) arr_sig_43bit
array ( integer range<> ) of STD_LOGIC_VECTOR (5 downto 0) arr_6
array ( integer range<> ) of unsigned (113 downto 0) arr_ctr_114bit
array ( integer range<> ) of unsigned (63 downto 0) arr_ctr_64bit
array ( integer range<> ) of unsigned (9 downto 0) arr_ctr_10bit
integer :=3 num_vio_groups
array ( integer range<> ) of signed (57 downto 0) arr_sig_58bit
array ( integer range<> ) of unsigned (86 downto 0) arr_ctr_87bit
array (1 downto 0 ) of STD_LOGIC_VECTOR (numbits_in_CTP_connector downto 0) arr_CTP
integer :=18 TX_time_multiplex_odata_length
array ( integer range<> ) of STD_LOGIC_VECTOR (18 downto 0) arr_19
array ( integer range<> ) of STD_LOGIC_VECTOR (17 downto 0) arr_18
integer :=2 num_RTM_IDELAYCTRL
array (numactchan - 1 downto 0 ,numbitsinchan downto 0) of STD_LOGIC_VECTOR (4 downto 0) del_register_type
array ( integer range<> ) of signed (83 downto 0) arr_sig_84bit
fiber_to_gtx_arr := ( 11,0,10,1,8,3,9,2,7,4,6,5,23,12,22,13,20,15,21,14,19,16,18,17 ) fiber_to_gtx
array ( integer range<> ) of unsigned (24 downto 0) arr_ctr_25bit
array ( integer range<> ) of STD_LOGIC_VECTOR (16 downto 0) arr_17
array ( integer range<> ) of signed (28 downto 0) arr_sig_29bit
unsigned (31 downto 0) :=x"ffffffff" max_ctr32
std_logic :='1' gen_Topo_TX_chipscope
integer :=26 numbits_in_RTM_connector
array ( integer range<> ) of signed (76 downto 0) arr_sig_77bit
array ( integer range<> ) of signed (109 downto 0) arr_sig_110bit
array ( integer range<> ) of signed (25 downto 0) arr_sig_26bit
array ( integer range<> ) of signed (1 downto 0) arr_sig_2bit
array ( integer range<> ) of unsigned (46 downto 0) arr_ctr_47bit
array ( integer range<> ) of unsigned (26 downto 0) arr_ctr_27bit
array ( integer range<> ) of STD_LOGIC_VECTOR (34 downto 0) arr_35
array ( integer range<> ) of signed (105 downto 0) arr_sig_106bit
array ( integer range<> ) of signed (73 downto 0) arr_sig_74bit
array ( integer range<> ) of STD_LOGIC_VECTOR (98 downto 0) arr_99
array ( integer range<> ) of unsigned (77 downto 0) arr_ctr_78bit
array ( integer range<> ) of STD_LOGIC_VECTOR (1 downto 0) arr_2
integer :=31 numbits_in_CTP_connector
array ( integer range<> ) of STD_LOGIC_VECTOR (35 downto 0) arr_36
array ( integer range<> ) of signed (16 downto 0) arr_sig_17bit
array ( integer range<> ) of signed (17 downto 0) arr_sig_18bit
array ( integer range<> ) of signed (80 downto 0) arr_sig_81bit
array ( integer range<> ) of unsigned (18 downto 0) arr_ctr_19bit
array ( integer range<> ) of signed (103 downto 0) arr_sig_104bit
array ( integer range<> ) of unsigned (79 downto 0) arr_ctr_80bit
array ( integer range<> ) of signed (99 downto 0) arr_sig_100bit
array ( integer range<> ) of unsigned (5 downto 0) arr_ctr_6bit
array ( integer range<> ) of unsigned (1 downto 0) arr_ctr_2bit
array ( integer range<> ) of signed (64 downto 0) arr_sig_65bit
array ( integer range<> ) of signed (106 downto 0) arr_sig_107bit
array ( integer range<> ) of signed (48 downto 0) arr_sig_49bit
array ( integer range<> ) of signed (58 downto 0) arr_sig_59bit
std_logic_vector (1 downto 0) :=not_gen_RX & not_gen_RX RXPOWERDOWN
array ( integer range<> ) of unsigned (105 downto 0) arr_ctr_106bit
array ( integer range<> ) of unsigned (42 downto 0) arr_ctr_43bit
array ( integer range<> ) of unsigned (4 downto 0) short_unsigned_array
array ( integer range<> ) of signed (53 downto 0) arr_sig_54bit
array ( integer range<> ) of signed (85 downto 0) arr_sig_86bit
array ( integer range<> ) of unsigned (80 downto 0) arr_ctr_81bit
array ( integer range<> ) of signed (111 downto 0) arr_sig_112bit
array ( integer range<> ) of signed (71 downto 0) arr_sig_72bit
array ( integer range<> ) of signed (89 downto 0) arr_sig_90bit
array ( integer range<> ) of signed (38 downto 0) arr_sig_39bit
array ( integer range<> ) of signed (0 downto 0) arr_sig_1bit
array ( integer range<> ) of unsigned (111 downto 0) arr_ctr_112bit
array ( integer range<> ) of unsigned (45 downto 0) arr_ctr_46bit
array ( integer range<> ) of unsigned (87 downto 0) arr_ctr_88bit
array ( integer range<> ) of std_logic_vector (4 downto 0) arr_rd_data_count
array ((num_GTX_per_group * num_GTX_groups) - 1 downto 0 ) of std_logic_vector (GTX_data_word_width - 1 downto 0 arr_GTX_data)
array ( integer range<> ) of signed (61 downto 0) arr_sig_62bit
array ( integer range<> ) of signed (47 downto 0) arr_sig_48bit
array ( integer range<> ) of unsigned (8 downto 0) arr_ctr_9bit
array ( integer range<> ) of STD_LOGIC_VECTOR (95 downto 0) arr_96
array ( integer range<> ) of STD_LOGIC_VECTOR (7 downto 0) arr_8
array ( integer range<> ) of signed (87 downto 0) arr_sig_88bit
array ( integer range<> ) of signed (82 downto 0) arr_sig_83bit
array ( integer range<> ) of unsigned (21 downto 0) arr_ctr_22bit
array ( integer range<> ) of signed (67 downto 0) arr_sig_68bit
array ( integer range<> ) of unsigned (34 downto 0) arr_ctr_35bit
string :=".\sources\dataout.txt" DATAOUT
array ( integer range<> ) of unsigned (13 downto 0) arr_ctr_14bit
array ( integer range<> ) of STD_LOGIC_VECTOR (22 downto 0) arr_23
array ( integer range<> ) of signed (3 downto 0) arr_sig_4bit
array ( integer range<> ) of STD_LOGIC_VECTOR (31 downto 0) arr_32
array ( integer range<> ) of std_logic_vector (numbitsinchan downto 0) mat_var
array ( integer range<> ) of unsigned (94 downto 0) arr_ctr_95bit
array ( integer range<> ) of unsigned (81 downto 0) arr_ctr_82bit
array ( integer range<> ) of signed (107 downto 0) arr_sig_108bit
integer :=12 num_IDELAYCTRL
array ( integer range<> , integer range<> ) of std_logic logic_vector_2d
array ( integer range<> ) of unsigned (37 downto 0) arr_ctr_38bit
array ( integer range<> ) of unsigned (36 downto 0) arr_ctr_37bit
array (numbits_in_RTM_connector downto 0 ) of STD_LOGIC_VECTOR (4 downto 0) del_register_rtm_type
integer :=2 num_GTX_groups
array ( integer range<> ) of unsigned (27 downto 0) arr_ctr_28bit
integer :=(TX_indata_length / (num_fifos_per_group * num_GTX_groups) TX_time_multiplex_indata_length)
array ( integer range<> ) of unsigned (62 downto 0) arr_ctr_63bit
array ( integer range<> ) of signed (97 downto 0) arr_sig_98bit
array ( integer range<> ) of unsigned (96 downto 0) arr_ctr_97bit
array ( integer range<> ) of unsigned (64 downto 0) arr_ctr_65bit
array ( integer range<> ) of unsigned (70 downto 0) arr_ctr_71bit
array ( integer range<> ) of unsigned (112 downto 0) arr_ctr_113bit
array ( integer range<> ) of signed (39 downto 0) arr_sig_40bit
array ( integer range<> ) of STD_LOGIC_VECTOR (8 downto 0) arr_9
array ( integer range<> ) of unsigned (56 downto 0) arr_ctr_57bit
array ( integer range<> ) of unsigned (102 downto 0) arr_ctr_103bit
integer :=num_GTX_per_group / num_fifos_per_group num_GTX_per_fifo
array ( integer range<> ) of STD_LOGIC_VECTOR (4 downto 0) arr_5
array ( integer range<> ) of STD_LOGIC_VECTOR (numbitsinchan - 1 downto 0) arr_word
array ( integer range<> ) of signed (81 downto 0) arr_sig_82bit
integer :=TX_time_multiplex_odata_length TX_fifo_indata_length
array ( integer range<> ) of unsigned (32 downto 0) arr_ctr_33bit
array (0 to num_GTX_groups * num_GTX_per_group - 1 ) of integer fiber_to_gtx_arr)
array ( integer range<> ) of unsigned (110 downto 0) arr_ctr_111bit
array ( integer range<> ) of unsigned (0 downto 0) arr_ctr_1bit
array ( integer range<> ) of signed (31 downto 0) arr_sig_32bit
array ( integer range<> ) of signed (33 downto 0) arr_sig_34bit