CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMXpackage Package Reference

Functions

integer   imin ( i: in integer , j: in integer )

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
IEEE.MATH_REAL.all 

Constants

version_common  std_logic_vector ( 15 downto 0 ) := x " F0A3 "
numactchan  integer := 16
numbitsinchan  integer := 24
VME_read_det_delay  integer := 42
numbits_in_CTP_connector  integer := 31
numbits_in_RTM_connector  integer := 26
max_ctr32  unsigned ( 31 downto 0 ) := x " ffffffff "
DATAIN  string := " .\sources\datain.txt "
DATAOUT  string := " .\sources\dataout.txt "
num_IDELAYCTRL  integer := 12
num_RTM_IDELAYCTRL  integer := 2
num_GTX_groups  integer := 2
num_GTX_per_group  integer := 12
GTX_data_word_width  integer := 16
num_fifos_per_group  integer := 12
num_GTX_per_fifo  integer := num_GTX_per_group / num_fifos_per_group
num_vio_groups  integer := 3
TX_indata_length  integer := ( num_GTX_groups * num_GTX_per_group * ( GTX_data_word_width ) * 8
TX_num_words_in_indata  integer := TX_indata_length / ( GTX_data_word_width )
TX_time_multiplex_indata_length  integer := ( TX_indata_length / ( num_fifos_per_group * num_GTX_groups )
TX_time_multiplex_odata_length  integer := 18
TX_fifo_indata_length  integer := TX_time_multiplex_odata_length
TX_fifo_odata_length  integer := TX_fifo_indata_length
rx_clk_source_offset  integer := 4
gen_RX  std_logic := ' 0 '
gen_Topo_TX_chipscope  std_logic := ' 1 '
not_gen_RX  std_logic := not gen_RX
RXPOWERDOWN  std_logic_vector ( 1 downto 0 ) := not_gen_RX & not_gen_RX
LOOPBACK  std_logic_vector ( 2 downto 0 ) := " 000 "
gtx_mmcm_CLKFBOUT_MULT_F  real := 8 . 0
gtx_mmcm_DIVCLK_DIVIDE  integer := 2
gtx_mmcm_CLKIN1_PERIOD  real := 3 . 118
gtx_mmcm_CLKOUT0_DIVIDE_F  real := 4 . 0
gtx_PLL_DIVSEL_FB  integer := 2
gtx_PLL_DIVSEL_OUT  integer := 1
gtx_PLL_DIVSEL_REF  integer := 1
gtx_DIVSEL45_FB  integer := 5
gtx_CLK25_DIVIDER  integer := 13
use_RX_elastic  BOOLEAN := TRUE
fiber_to_gtx  fiber_to_gtx_arr := ( 11 , 0 , 10 , 1 , 8 , 3 , 9 , 2 , 7 , 4 , 6 , 5 , 23 , 12 , 22 , 13 , 20 , 15 , 21 , 14 , 19 , 16 , 18 , 17 )

Types

cable_del_array_type array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
mat_var array ( integer range<> ) of std_logic_vector ( numbitsinchan downto 0 )
arr_word array ( integer range<> ) of STD_LOGIC_VECTOR ( numbitsinchan - 1 downto 0 )
arr_wordData array ( integer range<> ) of STD_LOGIC_VECTOR ( numbitsinchan - 2 downto 0 )
arr_4Xword array ( integer range<> ) of STD_LOGIC_VECTOR ( ( numbitsinchan * 4 ) - 1 downto 0
arr_2Xword array ( integer range<> ) of STD_LOGIC_VECTOR ( ( numbitsinchan * 2 ) - 1 downto 0
arr_315 array ( integer range<> ) of STD_LOGIC_VECTOR ( 314 downto 0 )
arr_171 array ( integer range<> ) of STD_LOGIC_VECTOR ( 170 downto 0 )
arr_99 array ( integer range<> ) of STD_LOGIC_VECTOR ( 98 downto 0 )
arr_96 array ( integer range<> ) of STD_LOGIC_VECTOR ( 95 downto 0 )
arr_64 array ( integer range<> ) of STD_LOGIC_VECTOR ( 63 downto 0 )
arr_52 array ( integer range<> ) of STD_LOGIC_VECTOR ( 51 downto 0 )
arr_48 array ( integer range<> ) of STD_LOGIC_VECTOR ( 47 downto 0 )
arr_36 array ( integer range<> ) of STD_LOGIC_VECTOR ( 35 downto 0 )
arr_35 array ( integer range<> ) of STD_LOGIC_VECTOR ( 34 downto 0 )
arr_32 array ( integer range<> ) of STD_LOGIC_VECTOR ( 31 downto 0 )
arr_31 array ( integer range<> ) of STD_LOGIC_VECTOR ( 30 downto 0 )
arr_27 array ( integer range<> ) of STD_LOGIC_VECTOR ( 26 downto 0 )
arr_26 array ( integer range<> ) of STD_LOGIC_VECTOR ( 25 downto 0 )
arr_25 array ( integer range<> ) of STD_LOGIC_VECTOR ( 24 downto 0 )
arr_24 array ( integer range<> ) of STD_LOGIC_VECTOR ( 23 downto 0 )
arr_23 array ( integer range<> ) of STD_LOGIC_VECTOR ( 22 downto 0 )
arr_20 array ( integer range<> ) of STD_LOGIC_VECTOR ( 19 downto 0 )
arr_19 array ( integer range<> ) of STD_LOGIC_VECTOR ( 18 downto 0 )
arr_18 array ( integer range<> ) of STD_LOGIC_VECTOR ( 17 downto 0 )
arr_17 array ( integer range<> ) of STD_LOGIC_VECTOR ( 16 downto 0 )
arr_16 array ( integer range<> ) of STD_LOGIC_VECTOR ( 15 downto 0 )
arr_15 array ( integer range<> ) of STD_LOGIC_VECTOR ( 14 downto 0 )
arr_12 array ( integer range<> ) of STD_LOGIC_VECTOR ( 11 downto 0 )
arr_9 array ( integer range<> ) of STD_LOGIC_VECTOR ( 8 downto 0 )
arr_8 array ( integer range<> ) of STD_LOGIC_VECTOR ( 7 downto 0 )
arr_6 array ( integer range<> ) of STD_LOGIC_VECTOR ( 5 downto 0 )
arr_5 array ( integer range<> ) of STD_LOGIC_VECTOR ( 4 downto 0 )
arr_4 array ( integer range<> ) of STD_LOGIC_VECTOR ( 3 downto 0 )
arr_3 array ( integer range<> ) of STD_LOGIC_VECTOR ( 2 downto 0 )
arr_2 array ( integer range<> ) of STD_LOGIC_VECTOR ( 1 downto 0 )
arr_1 array ( integer range<> ) of STD_LOGIC_VECTOR ( 0 downto 0 )
arr_ctr_1bit array ( integer range<> ) of unsigned ( 0 downto 0 )
arr_ctr_2bit array ( integer range<> ) of unsigned ( 1 downto 0 )
arr_ctr_3bit array ( integer range<> ) of unsigned ( 2 downto 0 )
arr_ctr_4bit array ( integer range<> ) of unsigned ( 3 downto 0 )
arr_ctr_5bit array ( integer range<> ) of unsigned ( 4 downto 0 )
arr_ctr_6bit array ( integer range<> ) of unsigned ( 5 downto 0 )
arr_ctr_7bit array ( integer range<> ) of unsigned ( 6 downto 0 )
arr_ctr_8bit array ( integer range<> ) of unsigned ( 7 downto 0 )
arr_ctr_9bit array ( integer range<> ) of unsigned ( 8 downto 0 )
arr_ctr_10bit array ( integer range<> ) of unsigned ( 9 downto 0 )
arr_ctr_11bit array ( integer range<> ) of unsigned ( 10 downto 0 )
arr_ctr_12bit array ( integer range<> ) of unsigned ( 11 downto 0 )
arr_ctr_13bit array ( integer range<> ) of unsigned ( 12 downto 0 )
arr_ctr_14bit array ( integer range<> ) of unsigned ( 13 downto 0 )
arr_ctr_15bit array ( integer range<> ) of unsigned ( 14 downto 0 )
arr_ctr_16bit array ( integer range<> ) of unsigned ( 15 downto 0 )
arr_ctr_17bit array ( integer range<> ) of unsigned ( 16 downto 0 )
arr_ctr_18bit array ( integer range<> ) of unsigned ( 17 downto 0 )
arr_ctr_19bit array ( integer range<> ) of unsigned ( 18 downto 0 )
arr_ctr_20bit array ( integer range<> ) of unsigned ( 19 downto 0 )
arr_ctr_21bit array ( integer range<> ) of unsigned ( 20 downto 0 )
arr_ctr_22bit array ( integer range<> ) of unsigned ( 21 downto 0 )
arr_ctr_23bit array ( integer range<> ) of unsigned ( 22 downto 0 )
arr_ctr_24bit array ( integer range<> ) of unsigned ( 23 downto 0 )
arr_ctr_25bit array ( integer range<> ) of unsigned ( 24 downto 0 )
arr_ctr_26bit array ( integer range<> ) of unsigned ( 25 downto 0 )
arr_ctr_27bit array ( integer range<> ) of unsigned ( 26 downto 0 )
arr_ctr_28bit array ( integer range<> ) of unsigned ( 27 downto 0 )
arr_ctr_29bit array ( integer range<> ) of unsigned ( 28 downto 0 )
arr_ctr_30bit array ( integer range<> ) of unsigned ( 29 downto 0 )
arr_ctr_31bit array ( integer range<> ) of unsigned ( 30 downto 0 )
arr_ctr_32bit array ( integer range<> ) of unsigned ( 31 downto 0 )
arr_ctr_33bit array ( integer range<> ) of unsigned ( 32 downto 0 )
arr_ctr_34bit array ( integer range<> ) of unsigned ( 33 downto 0 )
arr_ctr_35bit array ( integer range<> ) of unsigned ( 34 downto 0 )
arr_ctr_36bit array ( integer range<> ) of unsigned ( 35 downto 0 )
arr_ctr_37bit array ( integer range<> ) of unsigned ( 36 downto 0 )
arr_ctr_38bit array ( integer range<> ) of unsigned ( 37 downto 0 )
arr_ctr_39bit array ( integer range<> ) of unsigned ( 38 downto 0 )
arr_ctr_40bit array ( integer range<> ) of unsigned ( 39 downto 0 )
arr_ctr_41bit array ( integer range<> ) of unsigned ( 40 downto 0 )
arr_ctr_42bit array ( integer range<> ) of unsigned ( 41 downto 0 )
arr_ctr_43bit array ( integer range<> ) of unsigned ( 42 downto 0 )
arr_ctr_44bit array ( integer range<> ) of unsigned ( 43 downto 0 )
arr_ctr_45bit array ( integer range<> ) of unsigned ( 44 downto 0 )
arr_ctr_46bit array ( integer range<> ) of unsigned ( 45 downto 0 )
arr_ctr_47bit array ( integer range<> ) of unsigned ( 46 downto 0 )
arr_ctr_48bit array ( integer range<> ) of unsigned ( 47 downto 0 )
arr_ctr_49bit array ( integer range<> ) of unsigned ( 48 downto 0 )
arr_ctr_50bit array ( integer range<> ) of unsigned ( 49 downto 0 )
arr_ctr_51bit array ( integer range<> ) of unsigned ( 50 downto 0 )
arr_ctr_52bit array ( integer range<> ) of unsigned ( 51 downto 0 )
arr_ctr_53bit array ( integer range<> ) of unsigned ( 52 downto 0 )
arr_ctr_54bit array ( integer range<> ) of unsigned ( 53 downto 0 )
arr_ctr_55bit array ( integer range<> ) of unsigned ( 54 downto 0 )
arr_ctr_56bit array ( integer range<> ) of unsigned ( 55 downto 0 )
arr_ctr_57bit array ( integer range<> ) of unsigned ( 56 downto 0 )
arr_ctr_58bit array ( integer range<> ) of unsigned ( 57 downto 0 )
arr_ctr_59bit array ( integer range<> ) of unsigned ( 58 downto 0 )
arr_ctr_60bit array ( integer range<> ) of unsigned ( 59 downto 0 )
arr_ctr_61bit array ( integer range<> ) of unsigned ( 60 downto 0 )
arr_ctr_62bit array ( integer range<> ) of unsigned ( 61 downto 0 )
arr_ctr_63bit array ( integer range<> ) of unsigned ( 62 downto 0 )
arr_ctr_64bit array ( integer range<> ) of unsigned ( 63 downto 0 )
arr_ctr_65bit array ( integer range<> ) of unsigned ( 64 downto 0 )
arr_ctr_66bit array ( integer range<> ) of unsigned ( 65 downto 0 )
arr_ctr_67bit array ( integer range<> ) of unsigned ( 66 downto 0 )
arr_ctr_68bit array ( integer range<> ) of unsigned ( 67 downto 0 )
arr_ctr_69bit array ( integer range<> ) of unsigned ( 68 downto 0 )
arr_ctr_70bit array ( integer range<> ) of unsigned ( 69 downto 0 )
arr_ctr_71bit array ( integer range<> ) of unsigned ( 70 downto 0 )
arr_ctr_72bit array ( integer range<> ) of unsigned ( 71 downto 0 )
arr_ctr_73bit array ( integer range<> ) of unsigned ( 72 downto 0 )
arr_ctr_74bit array ( integer range<> ) of unsigned ( 73 downto 0 )
arr_ctr_75bit array ( integer range<> ) of unsigned ( 74 downto 0 )
arr_ctr_76bit array ( integer range<> ) of unsigned ( 75 downto 0 )
arr_ctr_77bit array ( integer range<> ) of unsigned ( 76 downto 0 )
arr_ctr_78bit array ( integer range<> ) of unsigned ( 77 downto 0 )
arr_ctr_79bit array ( integer range<> ) of unsigned ( 78 downto 0 )
arr_ctr_80bit array ( integer range<> ) of unsigned ( 79 downto 0 )
arr_ctr_81bit array ( integer range<> ) of unsigned ( 80 downto 0 )
arr_ctr_82bit array ( integer range<> ) of unsigned ( 81 downto 0 )
arr_ctr_83bit array ( integer range<> ) of unsigned ( 82 downto 0 )
arr_ctr_84bit array ( integer range<> ) of unsigned ( 83 downto 0 )
arr_ctr_85bit array ( integer range<> ) of unsigned ( 84 downto 0 )
arr_ctr_86bit array ( integer range<> ) of unsigned ( 85 downto 0 )
arr_ctr_87bit array ( integer range<> ) of unsigned ( 86 downto 0 )
arr_ctr_88bit array ( integer range<> ) of unsigned ( 87 downto 0 )
arr_ctr_89bit array ( integer range<> ) of unsigned ( 88 downto 0 )
arr_ctr_90bit array ( integer range<> ) of unsigned ( 89 downto 0 )
arr_ctr_91bit array ( integer range<> ) of unsigned ( 90 downto 0 )
arr_ctr_92bit array ( integer range<> ) of unsigned ( 91 downto 0 )
arr_ctr_93bit array ( integer range<> ) of unsigned ( 92 downto 0 )
arr_ctr_94bit array ( integer range<> ) of unsigned ( 93 downto 0 )
arr_ctr_95bit array ( integer range<> ) of unsigned ( 94 downto 0 )
arr_ctr_96bit array ( integer range<> ) of unsigned ( 95 downto 0 )
arr_ctr_97bit array ( integer range<> ) of unsigned ( 96 downto 0 )
arr_ctr_98bit array ( integer range<> ) of unsigned ( 97 downto 0 )
arr_ctr_99bit array ( integer range<> ) of unsigned ( 98 downto 0 )
arr_ctr_100bit array ( integer range<> ) of unsigned ( 99 downto 0 )
arr_ctr_101bit array ( integer range<> ) of unsigned ( 100 downto 0 )
arr_ctr_102bit array ( integer range<> ) of unsigned ( 101 downto 0 )
arr_ctr_103bit array ( integer range<> ) of unsigned ( 102 downto 0 )
arr_ctr_104bit array ( integer range<> ) of unsigned ( 103 downto 0 )
arr_ctr_105bit array ( integer range<> ) of unsigned ( 104 downto 0 )
arr_ctr_106bit array ( integer range<> ) of unsigned ( 105 downto 0 )
arr_ctr_107bit array ( integer range<> ) of unsigned ( 106 downto 0 )
arr_ctr_108bit array ( integer range<> ) of unsigned ( 107 downto 0 )
arr_ctr_109bit array ( integer range<> ) of unsigned ( 108 downto 0 )
arr_ctr_110bit array ( integer range<> ) of unsigned ( 109 downto 0 )
arr_ctr_111bit array ( integer range<> ) of unsigned ( 110 downto 0 )
arr_ctr_112bit array ( integer range<> ) of unsigned ( 111 downto 0 )
arr_ctr_113bit array ( integer range<> ) of unsigned ( 112 downto 0 )
arr_ctr_114bit array ( integer range<> ) of unsigned ( 113 downto 0 )
arr_ctr_115bit array ( integer range<> ) of unsigned ( 114 downto 0 )
arr_sig_1bit array ( integer range<> ) of signed ( 0 downto 0 )
arr_sig_2bit array ( integer range<> ) of signed ( 1 downto 0 )
arr_sig_3bit array ( integer range<> ) of signed ( 2 downto 0 )
arr_sig_4bit array ( integer range<> ) of signed ( 3 downto 0 )
arr_sig_5bit array ( integer range<> ) of signed ( 4 downto 0 )
arr_sig_6bit array ( integer range<> ) of signed ( 5 downto 0 )
arr_sig_7bit array ( integer range<> ) of signed ( 6 downto 0 )
arr_sig_8bit array ( integer range<> ) of signed ( 7 downto 0 )
arr_sig_9bit array ( integer range<> ) of signed ( 8 downto 0 )
arr_sig_10bit array ( integer range<> ) of signed ( 9 downto 0 )
arr_sig_11bit array ( integer range<> ) of signed ( 10 downto 0 )
arr_sig_12bit array ( integer range<> ) of signed ( 11 downto 0 )
arr_sig_13bit array ( integer range<> ) of signed ( 12 downto 0 )
arr_sig_14bit array ( integer range<> ) of signed ( 13 downto 0 )
arr_sig_15bit array ( integer range<> ) of signed ( 14 downto 0 )
arr_sig_16bit array ( integer range<> ) of signed ( 15 downto 0 )
arr_sig_17bit array ( integer range<> ) of signed ( 16 downto 0 )
arr_sig_18bit array ( integer range<> ) of signed ( 17 downto 0 )
arr_sig_19bit array ( integer range<> ) of signed ( 18 downto 0 )
arr_sig_20bit array ( integer range<> ) of signed ( 19 downto 0 )
arr_sig_21bit array ( integer range<> ) of signed ( 20 downto 0 )
arr_sig_22bit array ( integer range<> ) of signed ( 21 downto 0 )
arr_sig_23bit array ( integer range<> ) of signed ( 22 downto 0 )
arr_sig_24bit array ( integer range<> ) of signed ( 23 downto 0 )
arr_sig_25bit array ( integer range<> ) of signed ( 24 downto 0 )
arr_sig_26bit array ( integer range<> ) of signed ( 25 downto 0 )
arr_sig_27bit array ( integer range<> ) of signed ( 26 downto 0 )
arr_sig_28bit array ( integer range<> ) of signed ( 27 downto 0 )
arr_sig_29bit array ( integer range<> ) of signed ( 28 downto 0 )
arr_sig_30bit array ( integer range<> ) of signed ( 29 downto 0 )
arr_sig_31bit array ( integer range<> ) of signed ( 30 downto 0 )
arr_sig_32bit array ( integer range<> ) of signed ( 31 downto 0 )
arr_sig_33bit array ( integer range<> ) of signed ( 32 downto 0 )
arr_sig_34bit array ( integer range<> ) of signed ( 33 downto 0 )
arr_sig_35bit array ( integer range<> ) of signed ( 34 downto 0 )
arr_sig_36bit array ( integer range<> ) of signed ( 35 downto 0 )
arr_sig_37bit array ( integer range<> ) of signed ( 36 downto 0 )
arr_sig_38bit array ( integer range<> ) of signed ( 37 downto 0 )
arr_sig_39bit array ( integer range<> ) of signed ( 38 downto 0 )
arr_sig_40bit array ( integer range<> ) of signed ( 39 downto 0 )
arr_sig_41bit array ( integer range<> ) of signed ( 40 downto 0 )
arr_sig_42bit array ( integer range<> ) of signed ( 41 downto 0 )
arr_sig_43bit array ( integer range<> ) of signed ( 42 downto 0 )
arr_sig_44bit array ( integer range<> ) of signed ( 43 downto 0 )
arr_sig_45bit array ( integer range<> ) of signed ( 44 downto 0 )
arr_sig_46bit array ( integer range<> ) of signed ( 45 downto 0 )
arr_sig_47bit array ( integer range<> ) of signed ( 46 downto 0 )
arr_sig_48bit array ( integer range<> ) of signed ( 47 downto 0 )
arr_sig_49bit array ( integer range<> ) of signed ( 48 downto 0 )
arr_sig_50bit array ( integer range<> ) of signed ( 49 downto 0 )
arr_sig_51bit array ( integer range<> ) of signed ( 50 downto 0 )
arr_sig_52bit array ( integer range<> ) of signed ( 51 downto 0 )
arr_sig_53bit array ( integer range<> ) of signed ( 52 downto 0 )
arr_sig_54bit array ( integer range<> ) of signed ( 53 downto 0 )
arr_sig_55bit array ( integer range<> ) of signed ( 54 downto 0 )
arr_sig_56bit array ( integer range<> ) of signed ( 55 downto 0 )
arr_sig_57bit array ( integer range<> ) of signed ( 56 downto 0 )
arr_sig_58bit array ( integer range<> ) of signed ( 57 downto 0 )
arr_sig_59bit array ( integer range<> ) of signed ( 58 downto 0 )
arr_sig_60bit array ( integer range<> ) of signed ( 59 downto 0 )
arr_sig_61bit array ( integer range<> ) of signed ( 60 downto 0 )
arr_sig_62bit array ( integer range<> ) of signed ( 61 downto 0 )
arr_sig_63bit array ( integer range<> ) of signed ( 62 downto 0 )
arr_sig_64bit array ( integer range<> ) of signed ( 63 downto 0 )
arr_sig_65bit array ( integer range<> ) of signed ( 64 downto 0 )
arr_sig_66bit array ( integer range<> ) of signed ( 65 downto 0 )
arr_sig_67bit array ( integer range<> ) of signed ( 66 downto 0 )
arr_sig_68bit array ( integer range<> ) of signed ( 67 downto 0 )
arr_sig_69bit array ( integer range<> ) of signed ( 68 downto 0 )
arr_sig_70bit array ( integer range<> ) of signed ( 69 downto 0 )
arr_sig_71bit array ( integer range<> ) of signed ( 70 downto 0 )
arr_sig_72bit array ( integer range<> ) of signed ( 71 downto 0 )
arr_sig_73bit array ( integer range<> ) of signed ( 72 downto 0 )
arr_sig_74bit array ( integer range<> ) of signed ( 73 downto 0 )
arr_sig_75bit array ( integer range<> ) of signed ( 74 downto 0 )
arr_sig_76bit array ( integer range<> ) of signed ( 75 downto 0 )
arr_sig_77bit array ( integer range<> ) of signed ( 76 downto 0 )
arr_sig_78bit array ( integer range<> ) of signed ( 77 downto 0 )
arr_sig_79bit array ( integer range<> ) of signed ( 78 downto 0 )
arr_sig_80bit array ( integer range<> ) of signed ( 79 downto 0 )
arr_sig_81bit array ( integer range<> ) of signed ( 80 downto 0 )
arr_sig_82bit array ( integer range<> ) of signed ( 81 downto 0 )
arr_sig_83bit array ( integer range<> ) of signed ( 82 downto 0 )
arr_sig_84bit array ( integer range<> ) of signed ( 83 downto 0 )
arr_sig_85bit array ( integer range<> ) of signed ( 84 downto 0 )
arr_sig_86bit array ( integer range<> ) of signed ( 85 downto 0 )
arr_sig_87bit array ( integer range<> ) of signed ( 86 downto 0 )
arr_sig_88bit array ( integer range<> ) of signed ( 87 downto 0 )
arr_sig_89bit array ( integer range<> ) of signed ( 88 downto 0 )
arr_sig_90bit array ( integer range<> ) of signed ( 89 downto 0 )
arr_sig_91bit array ( integer range<> ) of signed ( 90 downto 0 )
arr_sig_92bit array ( integer range<> ) of signed ( 91 downto 0 )
arr_sig_93bit array ( integer range<> ) of signed ( 92 downto 0 )
arr_sig_94bit array ( integer range<> ) of signed ( 93 downto 0 )
arr_sig_95bit array ( integer range<> ) of signed ( 94 downto 0 )
arr_sig_96bit array ( integer range<> ) of signed ( 95 downto 0 )
arr_sig_97bit array ( integer range<> ) of signed ( 96 downto 0 )
arr_sig_98bit array ( integer range<> ) of signed ( 97 downto 0 )
arr_sig_99bit array ( integer range<> ) of signed ( 98 downto 0 )
arr_sig_100bit array ( integer range<> ) of signed ( 99 downto 0 )
arr_sig_101bit array ( integer range<> ) of signed ( 100 downto 0 )
arr_sig_102bit array ( integer range<> ) of signed ( 101 downto 0 )
arr_sig_103bit array ( integer range<> ) of signed ( 102 downto 0 )
arr_sig_104bit array ( integer range<> ) of signed ( 103 downto 0 )
arr_sig_105bit array ( integer range<> ) of signed ( 104 downto 0 )
arr_sig_106bit array ( integer range<> ) of signed ( 105 downto 0 )
arr_sig_107bit array ( integer range<> ) of signed ( 106 downto 0 )
arr_sig_108bit array ( integer range<> ) of signed ( 107 downto 0 )
arr_sig_109bit array ( integer range<> ) of signed ( 108 downto 0 )
arr_sig_110bit array ( integer range<> ) of signed ( 109 downto 0 )
arr_sig_111bit array ( integer range<> ) of signed ( 110 downto 0 )
arr_sig_112bit array ( integer range<> ) of signed ( 111 downto 0 )
arr_sig_113bit array ( integer range<> ) of signed ( 112 downto 0 )
arr_sig_114bit array ( integer range<> ) of signed ( 113 downto 0 )
arr_sig_115bit array ( integer range<> ) of signed ( 114 downto 0 )
arr_CTP array ( 1 downto 0 ) of STD_LOGIC_VECTOR ( numbits_in_CTP_connector downto 0 )
arr_RTM array ( integer range<> ) of STD_LOGIC_VECTOR ( numbits_in_RTM_connector downto 0 )
logic_vector_2d array ( integer range<> , integer range<> ) of std_logic
del_register_type array ( numactchan - 1 downto 0 , numbitsinchan downto 0 ) of STD_LOGIC_VECTOR ( 4 downto 0 )
del_register_rtm_type array ( numbits_in_RTM_connector downto 0 ) of STD_LOGIC_VECTOR ( 4 downto 0 )
arr_time_multiplex_data_in array ( integer range<> ) of STD_LOGIC_VECTOR ( TX_time_multiplex_indata_length - 1 downto 0 )
arr_time_multiplex_data_out array ( integer range<> ) of STD_LOGIC_VECTOR ( TX_time_multiplex_odata_length - 1 downto 0 )
arr_rd_data_count array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
arr_wr_data_count array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
arr_GTX_data array ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 ) of std_logic_vector ( GTX_data_word_width - 1 downto 0
arr_2GTX_data array ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 ) of std_logic_vector ( ( 2 * GTX_data_word_width ) - 1 downto 0
long_unsigned_array array ( integer range<> ) of unsigned ( 13 downto 0 )
short_unsigned_array array ( integer range<> ) of unsigned ( 4 downto 0 )
fiber_to_gtx_arr array ( 0 to num_GTX_groups * num_GTX_per_group - 1 ) of integer
phase_shifts_arr array ( 0 to numactchan - 1 ) of real

Detailed Description

Definition at line 12 of file CMXpackage.vhd.

Member Function Documentation

integer imin (   i in integer ,
  j in integer  
)
Function

Definition at line 537 of file CMXpackage.vhd.

Member Data Documentation

arr_1 array ( integer range<> ) of STD_LOGIC_VECTOR ( 0 downto 0 )
Type

Definition at line 67 of file CMXpackage.vhd.

arr_12 array ( integer range<> ) of STD_LOGIC_VECTOR ( 11 downto 0 )
Type

Definition at line 59 of file CMXpackage.vhd.

arr_15 array ( integer range<> ) of STD_LOGIC_VECTOR ( 14 downto 0 )
Type

Definition at line 58 of file CMXpackage.vhd.

arr_16 array ( integer range<> ) of STD_LOGIC_VECTOR ( 15 downto 0 )
Type

Definition at line 57 of file CMXpackage.vhd.

arr_17 array ( integer range<> ) of STD_LOGIC_VECTOR ( 16 downto 0 )
Type

Definition at line 56 of file CMXpackage.vhd.

arr_171 array ( integer range<> ) of STD_LOGIC_VECTOR ( 170 downto 0 )
Type

Definition at line 38 of file CMXpackage.vhd.

arr_18 array ( integer range<> ) of STD_LOGIC_VECTOR ( 17 downto 0 )
Type

Definition at line 55 of file CMXpackage.vhd.

arr_19 array ( integer range<> ) of STD_LOGIC_VECTOR ( 18 downto 0 )
Type

Definition at line 54 of file CMXpackage.vhd.

arr_2 array ( integer range<> ) of STD_LOGIC_VECTOR ( 1 downto 0 )
Type

Definition at line 66 of file CMXpackage.vhd.

arr_20 array ( integer range<> ) of STD_LOGIC_VECTOR ( 19 downto 0 )
Type

Definition at line 53 of file CMXpackage.vhd.

arr_23 array ( integer range<> ) of STD_LOGIC_VECTOR ( 22 downto 0 )
Type

Definition at line 52 of file CMXpackage.vhd.

arr_24 array ( integer range<> ) of STD_LOGIC_VECTOR ( 23 downto 0 )
Type

Definition at line 51 of file CMXpackage.vhd.

arr_25 array ( integer range<> ) of STD_LOGIC_VECTOR ( 24 downto 0 )
Type

Definition at line 50 of file CMXpackage.vhd.

arr_26 array ( integer range<> ) of STD_LOGIC_VECTOR ( 25 downto 0 )
Type

Definition at line 49 of file CMXpackage.vhd.

arr_27 array ( integer range<> ) of STD_LOGIC_VECTOR ( 26 downto 0 )
Type

Definition at line 48 of file CMXpackage.vhd.

arr_2GTX_data array ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 ) of std_logic_vector ( ( 2 * GTX_data_word_width ) - 1 downto 0
Type

Definition at line 393 of file CMXpackage.vhd.

arr_2Xword array ( integer range<> ) of STD_LOGIC_VECTOR ( ( numbitsinchan * 2 ) - 1 downto 0
Type

Definition at line 36 of file CMXpackage.vhd.

arr_3 array ( integer range<> ) of STD_LOGIC_VECTOR ( 2 downto 0 )
Type

Definition at line 65 of file CMXpackage.vhd.

arr_31 array ( integer range<> ) of STD_LOGIC_VECTOR ( 30 downto 0 )
Type

Definition at line 47 of file CMXpackage.vhd.

arr_315 array ( integer range<> ) of STD_LOGIC_VECTOR ( 314 downto 0 )
Type

Definition at line 37 of file CMXpackage.vhd.

arr_32 array ( integer range<> ) of STD_LOGIC_VECTOR ( 31 downto 0 )
Type

Definition at line 46 of file CMXpackage.vhd.

arr_35 array ( integer range<> ) of STD_LOGIC_VECTOR ( 34 downto 0 )
Type

Definition at line 45 of file CMXpackage.vhd.

arr_36 array ( integer range<> ) of STD_LOGIC_VECTOR ( 35 downto 0 )
Type

Definition at line 44 of file CMXpackage.vhd.

arr_4 array ( integer range<> ) of STD_LOGIC_VECTOR ( 3 downto 0 )
Type

Definition at line 64 of file CMXpackage.vhd.

arr_48 array ( integer range<> ) of STD_LOGIC_VECTOR ( 47 downto 0 )
Type

Definition at line 43 of file CMXpackage.vhd.

arr_4Xword array ( integer range<> ) of STD_LOGIC_VECTOR ( ( numbitsinchan * 4 ) - 1 downto 0
Type

Definition at line 35 of file CMXpackage.vhd.

arr_5 array ( integer range<> ) of STD_LOGIC_VECTOR ( 4 downto 0 )
Type

Definition at line 63 of file CMXpackage.vhd.

arr_52 array ( integer range<> ) of STD_LOGIC_VECTOR ( 51 downto 0 )
Type

Definition at line 42 of file CMXpackage.vhd.

arr_6 array ( integer range<> ) of STD_LOGIC_VECTOR ( 5 downto 0 )
Type

Definition at line 62 of file CMXpackage.vhd.

arr_64 array ( integer range<> ) of STD_LOGIC_VECTOR ( 63 downto 0 )
Type

Definition at line 41 of file CMXpackage.vhd.

arr_8 array ( integer range<> ) of STD_LOGIC_VECTOR ( 7 downto 0 )
Type

Definition at line 61 of file CMXpackage.vhd.

arr_9 array ( integer range<> ) of STD_LOGIC_VECTOR ( 8 downto 0 )
Type

Definition at line 60 of file CMXpackage.vhd.

arr_96 array ( integer range<> ) of STD_LOGIC_VECTOR ( 95 downto 0 )
Type

Definition at line 40 of file CMXpackage.vhd.

arr_99 array ( integer range<> ) of STD_LOGIC_VECTOR ( 98 downto 0 )
Type

Definition at line 39 of file CMXpackage.vhd.

arr_CTP array ( 1 downto 0 ) of STD_LOGIC_VECTOR ( numbits_in_CTP_connector downto 0 )
Type

Definition at line 304 of file CMXpackage.vhd.

arr_ctr_100bit array ( integer range<> ) of unsigned ( 99 downto 0 )
Type

Definition at line 168 of file CMXpackage.vhd.

arr_ctr_101bit array ( integer range<> ) of unsigned ( 100 downto 0 )
Type

Definition at line 169 of file CMXpackage.vhd.

arr_ctr_102bit array ( integer range<> ) of unsigned ( 101 downto 0 )
Type

Definition at line 170 of file CMXpackage.vhd.

arr_ctr_103bit array ( integer range<> ) of unsigned ( 102 downto 0 )
Type

Definition at line 171 of file CMXpackage.vhd.

arr_ctr_104bit array ( integer range<> ) of unsigned ( 103 downto 0 )
Type

Definition at line 172 of file CMXpackage.vhd.

arr_ctr_105bit array ( integer range<> ) of unsigned ( 104 downto 0 )
Type

Definition at line 173 of file CMXpackage.vhd.

arr_ctr_106bit array ( integer range<> ) of unsigned ( 105 downto 0 )
Type

Definition at line 174 of file CMXpackage.vhd.

arr_ctr_107bit array ( integer range<> ) of unsigned ( 106 downto 0 )
Type

Definition at line 175 of file CMXpackage.vhd.

arr_ctr_108bit array ( integer range<> ) of unsigned ( 107 downto 0 )
Type

Definition at line 176 of file CMXpackage.vhd.

arr_ctr_109bit array ( integer range<> ) of unsigned ( 108 downto 0 )
Type

Definition at line 177 of file CMXpackage.vhd.

arr_ctr_10bit array ( integer range<> ) of unsigned ( 9 downto 0 )
Type

Definition at line 78 of file CMXpackage.vhd.

arr_ctr_110bit array ( integer range<> ) of unsigned ( 109 downto 0 )
Type

Definition at line 178 of file CMXpackage.vhd.

arr_ctr_111bit array ( integer range<> ) of unsigned ( 110 downto 0 )
Type

Definition at line 179 of file CMXpackage.vhd.

arr_ctr_112bit array ( integer range<> ) of unsigned ( 111 downto 0 )
Type

Definition at line 180 of file CMXpackage.vhd.

arr_ctr_113bit array ( integer range<> ) of unsigned ( 112 downto 0 )
Type

Definition at line 181 of file CMXpackage.vhd.

arr_ctr_114bit array ( integer range<> ) of unsigned ( 113 downto 0 )
Type

Definition at line 182 of file CMXpackage.vhd.

arr_ctr_115bit array ( integer range<> ) of unsigned ( 114 downto 0 )
Type

Definition at line 183 of file CMXpackage.vhd.

arr_ctr_11bit array ( integer range<> ) of unsigned ( 10 downto 0 )
Type

Definition at line 79 of file CMXpackage.vhd.

arr_ctr_12bit array ( integer range<> ) of unsigned ( 11 downto 0 )
Type

Definition at line 80 of file CMXpackage.vhd.

arr_ctr_13bit array ( integer range<> ) of unsigned ( 12 downto 0 )
Type

Definition at line 81 of file CMXpackage.vhd.

arr_ctr_14bit array ( integer range<> ) of unsigned ( 13 downto 0 )
Type

Definition at line 82 of file CMXpackage.vhd.

arr_ctr_15bit array ( integer range<> ) of unsigned ( 14 downto 0 )
Type

Definition at line 83 of file CMXpackage.vhd.

arr_ctr_16bit array ( integer range<> ) of unsigned ( 15 downto 0 )
Type

Definition at line 84 of file CMXpackage.vhd.

arr_ctr_17bit array ( integer range<> ) of unsigned ( 16 downto 0 )
Type

Definition at line 85 of file CMXpackage.vhd.

arr_ctr_18bit array ( integer range<> ) of unsigned ( 17 downto 0 )
Type

Definition at line 86 of file CMXpackage.vhd.

arr_ctr_19bit array ( integer range<> ) of unsigned ( 18 downto 0 )
Type

Definition at line 87 of file CMXpackage.vhd.

arr_ctr_1bit array ( integer range<> ) of unsigned ( 0 downto 0 )
Type

Definition at line 69 of file CMXpackage.vhd.

arr_ctr_20bit array ( integer range<> ) of unsigned ( 19 downto 0 )
Type

Definition at line 88 of file CMXpackage.vhd.

arr_ctr_21bit array ( integer range<> ) of unsigned ( 20 downto 0 )
Type

Definition at line 89 of file CMXpackage.vhd.

arr_ctr_22bit array ( integer range<> ) of unsigned ( 21 downto 0 )
Type

Definition at line 90 of file CMXpackage.vhd.

arr_ctr_23bit array ( integer range<> ) of unsigned ( 22 downto 0 )
Type

Definition at line 91 of file CMXpackage.vhd.

arr_ctr_24bit array ( integer range<> ) of unsigned ( 23 downto 0 )
Type

Definition at line 92 of file CMXpackage.vhd.

arr_ctr_25bit array ( integer range<> ) of unsigned ( 24 downto 0 )
Type

Definition at line 93 of file CMXpackage.vhd.

arr_ctr_26bit array ( integer range<> ) of unsigned ( 25 downto 0 )
Type

Definition at line 94 of file CMXpackage.vhd.

arr_ctr_27bit array ( integer range<> ) of unsigned ( 26 downto 0 )
Type

Definition at line 95 of file CMXpackage.vhd.

arr_ctr_28bit array ( integer range<> ) of unsigned ( 27 downto 0 )
Type

Definition at line 96 of file CMXpackage.vhd.

arr_ctr_29bit array ( integer range<> ) of unsigned ( 28 downto 0 )
Type

Definition at line 97 of file CMXpackage.vhd.

arr_ctr_2bit array ( integer range<> ) of unsigned ( 1 downto 0 )
Type

Definition at line 70 of file CMXpackage.vhd.

arr_ctr_30bit array ( integer range<> ) of unsigned ( 29 downto 0 )
Type

Definition at line 98 of file CMXpackage.vhd.

arr_ctr_31bit array ( integer range<> ) of unsigned ( 30 downto 0 )
Type

Definition at line 99 of file CMXpackage.vhd.

arr_ctr_32bit array ( integer range<> ) of unsigned ( 31 downto 0 )
Type

Definition at line 100 of file CMXpackage.vhd.

arr_ctr_33bit array ( integer range<> ) of unsigned ( 32 downto 0 )
Type

Definition at line 101 of file CMXpackage.vhd.

arr_ctr_34bit array ( integer range<> ) of unsigned ( 33 downto 0 )
Type

Definition at line 102 of file CMXpackage.vhd.

arr_ctr_35bit array ( integer range<> ) of unsigned ( 34 downto 0 )
Type

Definition at line 103 of file CMXpackage.vhd.

arr_ctr_36bit array ( integer range<> ) of unsigned ( 35 downto 0 )
Type

Definition at line 104 of file CMXpackage.vhd.

arr_ctr_37bit array ( integer range<> ) of unsigned ( 36 downto 0 )
Type

Definition at line 105 of file CMXpackage.vhd.

arr_ctr_38bit array ( integer range<> ) of unsigned ( 37 downto 0 )
Type

Definition at line 106 of file CMXpackage.vhd.

arr_ctr_39bit array ( integer range<> ) of unsigned ( 38 downto 0 )
Type

Definition at line 107 of file CMXpackage.vhd.

arr_ctr_3bit array ( integer range<> ) of unsigned ( 2 downto 0 )
Type

Definition at line 71 of file CMXpackage.vhd.

arr_ctr_40bit array ( integer range<> ) of unsigned ( 39 downto 0 )
Type

Definition at line 108 of file CMXpackage.vhd.

arr_ctr_41bit array ( integer range<> ) of unsigned ( 40 downto 0 )
Type

Definition at line 109 of file CMXpackage.vhd.

arr_ctr_42bit array ( integer range<> ) of unsigned ( 41 downto 0 )
Type

Definition at line 110 of file CMXpackage.vhd.

arr_ctr_43bit array ( integer range<> ) of unsigned ( 42 downto 0 )
Type

Definition at line 111 of file CMXpackage.vhd.

arr_ctr_44bit array ( integer range<> ) of unsigned ( 43 downto 0 )
Type

Definition at line 112 of file CMXpackage.vhd.

arr_ctr_45bit array ( integer range<> ) of unsigned ( 44 downto 0 )
Type

Definition at line 113 of file CMXpackage.vhd.

arr_ctr_46bit array ( integer range<> ) of unsigned ( 45 downto 0 )
Type

Definition at line 114 of file CMXpackage.vhd.

arr_ctr_47bit array ( integer range<> ) of unsigned ( 46 downto 0 )
Type

Definition at line 115 of file CMXpackage.vhd.

arr_ctr_48bit array ( integer range<> ) of unsigned ( 47 downto 0 )
Type

Definition at line 116 of file CMXpackage.vhd.

arr_ctr_49bit array ( integer range<> ) of unsigned ( 48 downto 0 )
Type

Definition at line 117 of file CMXpackage.vhd.

arr_ctr_4bit array ( integer range<> ) of unsigned ( 3 downto 0 )
Type

Definition at line 72 of file CMXpackage.vhd.

arr_ctr_50bit array ( integer range<> ) of unsigned ( 49 downto 0 )
Type

Definition at line 118 of file CMXpackage.vhd.

arr_ctr_51bit array ( integer range<> ) of unsigned ( 50 downto 0 )
Type

Definition at line 119 of file CMXpackage.vhd.

arr_ctr_52bit array ( integer range<> ) of unsigned ( 51 downto 0 )
Type

Definition at line 120 of file CMXpackage.vhd.

arr_ctr_53bit array ( integer range<> ) of unsigned ( 52 downto 0 )
Type

Definition at line 121 of file CMXpackage.vhd.

arr_ctr_54bit array ( integer range<> ) of unsigned ( 53 downto 0 )
Type

Definition at line 122 of file CMXpackage.vhd.

arr_ctr_55bit array ( integer range<> ) of unsigned ( 54 downto 0 )
Type

Definition at line 123 of file CMXpackage.vhd.

arr_ctr_56bit array ( integer range<> ) of unsigned ( 55 downto 0 )
Type

Definition at line 124 of file CMXpackage.vhd.

arr_ctr_57bit array ( integer range<> ) of unsigned ( 56 downto 0 )
Type

Definition at line 125 of file CMXpackage.vhd.

arr_ctr_58bit array ( integer range<> ) of unsigned ( 57 downto 0 )
Type

Definition at line 126 of file CMXpackage.vhd.

arr_ctr_59bit array ( integer range<> ) of unsigned ( 58 downto 0 )
Type

Definition at line 127 of file CMXpackage.vhd.

arr_ctr_5bit array ( integer range<> ) of unsigned ( 4 downto 0 )
Type

Definition at line 73 of file CMXpackage.vhd.

arr_ctr_60bit array ( integer range<> ) of unsigned ( 59 downto 0 )
Type

Definition at line 128 of file CMXpackage.vhd.

arr_ctr_61bit array ( integer range<> ) of unsigned ( 60 downto 0 )
Type

Definition at line 129 of file CMXpackage.vhd.

arr_ctr_62bit array ( integer range<> ) of unsigned ( 61 downto 0 )
Type

Definition at line 130 of file CMXpackage.vhd.

arr_ctr_63bit array ( integer range<> ) of unsigned ( 62 downto 0 )
Type

Definition at line 131 of file CMXpackage.vhd.

arr_ctr_64bit array ( integer range<> ) of unsigned ( 63 downto 0 )
Type

Definition at line 132 of file CMXpackage.vhd.

arr_ctr_65bit array ( integer range<> ) of unsigned ( 64 downto 0 )
Type

Definition at line 133 of file CMXpackage.vhd.

arr_ctr_66bit array ( integer range<> ) of unsigned ( 65 downto 0 )
Type

Definition at line 134 of file CMXpackage.vhd.

arr_ctr_67bit array ( integer range<> ) of unsigned ( 66 downto 0 )
Type

Definition at line 135 of file CMXpackage.vhd.

arr_ctr_68bit array ( integer range<> ) of unsigned ( 67 downto 0 )
Type

Definition at line 136 of file CMXpackage.vhd.

arr_ctr_69bit array ( integer range<> ) of unsigned ( 68 downto 0 )
Type

Definition at line 137 of file CMXpackage.vhd.

arr_ctr_6bit array ( integer range<> ) of unsigned ( 5 downto 0 )
Type

Definition at line 74 of file CMXpackage.vhd.

arr_ctr_70bit array ( integer range<> ) of unsigned ( 69 downto 0 )
Type

Definition at line 138 of file CMXpackage.vhd.

arr_ctr_71bit array ( integer range<> ) of unsigned ( 70 downto 0 )
Type

Definition at line 139 of file CMXpackage.vhd.

arr_ctr_72bit array ( integer range<> ) of unsigned ( 71 downto 0 )
Type

Definition at line 140 of file CMXpackage.vhd.

arr_ctr_73bit array ( integer range<> ) of unsigned ( 72 downto 0 )
Type

Definition at line 141 of file CMXpackage.vhd.

arr_ctr_74bit array ( integer range<> ) of unsigned ( 73 downto 0 )
Type

Definition at line 142 of file CMXpackage.vhd.

arr_ctr_75bit array ( integer range<> ) of unsigned ( 74 downto 0 )
Type

Definition at line 143 of file CMXpackage.vhd.

arr_ctr_76bit array ( integer range<> ) of unsigned ( 75 downto 0 )
Type

Definition at line 144 of file CMXpackage.vhd.

arr_ctr_77bit array ( integer range<> ) of unsigned ( 76 downto 0 )
Type

Definition at line 145 of file CMXpackage.vhd.

arr_ctr_78bit array ( integer range<> ) of unsigned ( 77 downto 0 )
Type

Definition at line 146 of file CMXpackage.vhd.

arr_ctr_79bit array ( integer range<> ) of unsigned ( 78 downto 0 )
Type

Definition at line 147 of file CMXpackage.vhd.

arr_ctr_7bit array ( integer range<> ) of unsigned ( 6 downto 0 )
Type

Definition at line 75 of file CMXpackage.vhd.

arr_ctr_80bit array ( integer range<> ) of unsigned ( 79 downto 0 )
Type

Definition at line 148 of file CMXpackage.vhd.

arr_ctr_81bit array ( integer range<> ) of unsigned ( 80 downto 0 )
Type

Definition at line 149 of file CMXpackage.vhd.

arr_ctr_82bit array ( integer range<> ) of unsigned ( 81 downto 0 )
Type

Definition at line 150 of file CMXpackage.vhd.

arr_ctr_83bit array ( integer range<> ) of unsigned ( 82 downto 0 )
Type

Definition at line 151 of file CMXpackage.vhd.

arr_ctr_84bit array ( integer range<> ) of unsigned ( 83 downto 0 )
Type

Definition at line 152 of file CMXpackage.vhd.

arr_ctr_85bit array ( integer range<> ) of unsigned ( 84 downto 0 )
Type

Definition at line 153 of file CMXpackage.vhd.

arr_ctr_86bit array ( integer range<> ) of unsigned ( 85 downto 0 )
Type

Definition at line 154 of file CMXpackage.vhd.

arr_ctr_87bit array ( integer range<> ) of unsigned ( 86 downto 0 )
Type

Definition at line 155 of file CMXpackage.vhd.

arr_ctr_88bit array ( integer range<> ) of unsigned ( 87 downto 0 )
Type

Definition at line 156 of file CMXpackage.vhd.

arr_ctr_89bit array ( integer range<> ) of unsigned ( 88 downto 0 )
Type

Definition at line 157 of file CMXpackage.vhd.

arr_ctr_8bit array ( integer range<> ) of unsigned ( 7 downto 0 )
Type

Definition at line 76 of file CMXpackage.vhd.

arr_ctr_90bit array ( integer range<> ) of unsigned ( 89 downto 0 )
Type

Definition at line 158 of file CMXpackage.vhd.

arr_ctr_91bit array ( integer range<> ) of unsigned ( 90 downto 0 )
Type

Definition at line 159 of file CMXpackage.vhd.

arr_ctr_92bit array ( integer range<> ) of unsigned ( 91 downto 0 )
Type

Definition at line 160 of file CMXpackage.vhd.

arr_ctr_93bit array ( integer range<> ) of unsigned ( 92 downto 0 )
Type

Definition at line 161 of file CMXpackage.vhd.

arr_ctr_94bit array ( integer range<> ) of unsigned ( 93 downto 0 )
Type

Definition at line 162 of file CMXpackage.vhd.

arr_ctr_95bit array ( integer range<> ) of unsigned ( 94 downto 0 )
Type

Definition at line 163 of file CMXpackage.vhd.

arr_ctr_96bit array ( integer range<> ) of unsigned ( 95 downto 0 )
Type

Definition at line 164 of file CMXpackage.vhd.

arr_ctr_97bit array ( integer range<> ) of unsigned ( 96 downto 0 )
Type

Definition at line 165 of file CMXpackage.vhd.

arr_ctr_98bit array ( integer range<> ) of unsigned ( 97 downto 0 )
Type

Definition at line 166 of file CMXpackage.vhd.

arr_ctr_99bit array ( integer range<> ) of unsigned ( 98 downto 0 )
Type

Definition at line 167 of file CMXpackage.vhd.

arr_ctr_9bit array ( integer range<> ) of unsigned ( 8 downto 0 )
Type

Definition at line 77 of file CMXpackage.vhd.

arr_GTX_data array ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 ) of std_logic_vector ( GTX_data_word_width - 1 downto 0
Type

Definition at line 392 of file CMXpackage.vhd.

arr_rd_data_count array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
Type

Definition at line 389 of file CMXpackage.vhd.

arr_RTM array ( integer range<> ) of STD_LOGIC_VECTOR ( numbits_in_RTM_connector downto 0 )
Type

Definition at line 307 of file CMXpackage.vhd.

arr_sig_100bit array ( integer range<> ) of signed ( 99 downto 0 )
Type

Definition at line 285 of file CMXpackage.vhd.

arr_sig_101bit array ( integer range<> ) of signed ( 100 downto 0 )
Type

Definition at line 286 of file CMXpackage.vhd.

arr_sig_102bit array ( integer range<> ) of signed ( 101 downto 0 )
Type

Definition at line 287 of file CMXpackage.vhd.

arr_sig_103bit array ( integer range<> ) of signed ( 102 downto 0 )
Type

Definition at line 288 of file CMXpackage.vhd.

arr_sig_104bit array ( integer range<> ) of signed ( 103 downto 0 )
Type

Definition at line 289 of file CMXpackage.vhd.

arr_sig_105bit array ( integer range<> ) of signed ( 104 downto 0 )
Type

Definition at line 290 of file CMXpackage.vhd.

arr_sig_106bit array ( integer range<> ) of signed ( 105 downto 0 )
Type

Definition at line 291 of file CMXpackage.vhd.

arr_sig_107bit array ( integer range<> ) of signed ( 106 downto 0 )
Type

Definition at line 292 of file CMXpackage.vhd.

arr_sig_108bit array ( integer range<> ) of signed ( 107 downto 0 )
Type

Definition at line 293 of file CMXpackage.vhd.

arr_sig_109bit array ( integer range<> ) of signed ( 108 downto 0 )
Type

Definition at line 294 of file CMXpackage.vhd.

arr_sig_10bit array ( integer range<> ) of signed ( 9 downto 0 )
Type

Definition at line 195 of file CMXpackage.vhd.

arr_sig_110bit array ( integer range<> ) of signed ( 109 downto 0 )
Type

Definition at line 295 of file CMXpackage.vhd.

arr_sig_111bit array ( integer range<> ) of signed ( 110 downto 0 )
Type

Definition at line 296 of file CMXpackage.vhd.

arr_sig_112bit array ( integer range<> ) of signed ( 111 downto 0 )
Type

Definition at line 297 of file CMXpackage.vhd.

arr_sig_113bit array ( integer range<> ) of signed ( 112 downto 0 )
Type

Definition at line 298 of file CMXpackage.vhd.

arr_sig_114bit array ( integer range<> ) of signed ( 113 downto 0 )
Type

Definition at line 299 of file CMXpackage.vhd.

arr_sig_115bit array ( integer range<> ) of signed ( 114 downto 0 )
Type

Definition at line 300 of file CMXpackage.vhd.

arr_sig_11bit array ( integer range<> ) of signed ( 10 downto 0 )
Type

Definition at line 196 of file CMXpackage.vhd.

arr_sig_12bit array ( integer range<> ) of signed ( 11 downto 0 )
Type

Definition at line 197 of file CMXpackage.vhd.

arr_sig_13bit array ( integer range<> ) of signed ( 12 downto 0 )
Type

Definition at line 198 of file CMXpackage.vhd.

arr_sig_14bit array ( integer range<> ) of signed ( 13 downto 0 )
Type

Definition at line 199 of file CMXpackage.vhd.

arr_sig_15bit array ( integer range<> ) of signed ( 14 downto 0 )
Type

Definition at line 200 of file CMXpackage.vhd.

arr_sig_16bit array ( integer range<> ) of signed ( 15 downto 0 )
Type

Definition at line 201 of file CMXpackage.vhd.

arr_sig_17bit array ( integer range<> ) of signed ( 16 downto 0 )
Type

Definition at line 202 of file CMXpackage.vhd.

arr_sig_18bit array ( integer range<> ) of signed ( 17 downto 0 )
Type

Definition at line 203 of file CMXpackage.vhd.

arr_sig_19bit array ( integer range<> ) of signed ( 18 downto 0 )
Type

Definition at line 204 of file CMXpackage.vhd.

arr_sig_1bit array ( integer range<> ) of signed ( 0 downto 0 )
Type

Definition at line 186 of file CMXpackage.vhd.

arr_sig_20bit array ( integer range<> ) of signed ( 19 downto 0 )
Type

Definition at line 205 of file CMXpackage.vhd.

arr_sig_21bit array ( integer range<> ) of signed ( 20 downto 0 )
Type

Definition at line 206 of file CMXpackage.vhd.

arr_sig_22bit array ( integer range<> ) of signed ( 21 downto 0 )
Type

Definition at line 207 of file CMXpackage.vhd.

arr_sig_23bit array ( integer range<> ) of signed ( 22 downto 0 )
Type

Definition at line 208 of file CMXpackage.vhd.

arr_sig_24bit array ( integer range<> ) of signed ( 23 downto 0 )
Type

Definition at line 209 of file CMXpackage.vhd.

arr_sig_25bit array ( integer range<> ) of signed ( 24 downto 0 )
Type

Definition at line 210 of file CMXpackage.vhd.

arr_sig_26bit array ( integer range<> ) of signed ( 25 downto 0 )
Type

Definition at line 211 of file CMXpackage.vhd.

arr_sig_27bit array ( integer range<> ) of signed ( 26 downto 0 )
Type

Definition at line 212 of file CMXpackage.vhd.

arr_sig_28bit array ( integer range<> ) of signed ( 27 downto 0 )
Type

Definition at line 213 of file CMXpackage.vhd.

arr_sig_29bit array ( integer range<> ) of signed ( 28 downto 0 )
Type

Definition at line 214 of file CMXpackage.vhd.

arr_sig_2bit array ( integer range<> ) of signed ( 1 downto 0 )
Type

Definition at line 187 of file CMXpackage.vhd.

arr_sig_30bit array ( integer range<> ) of signed ( 29 downto 0 )
Type

Definition at line 215 of file CMXpackage.vhd.

arr_sig_31bit array ( integer range<> ) of signed ( 30 downto 0 )
Type

Definition at line 216 of file CMXpackage.vhd.

arr_sig_32bit array ( integer range<> ) of signed ( 31 downto 0 )
Type

Definition at line 217 of file CMXpackage.vhd.

arr_sig_33bit array ( integer range<> ) of signed ( 32 downto 0 )
Type

Definition at line 218 of file CMXpackage.vhd.

arr_sig_34bit array ( integer range<> ) of signed ( 33 downto 0 )
Type

Definition at line 219 of file CMXpackage.vhd.

arr_sig_35bit array ( integer range<> ) of signed ( 34 downto 0 )
Type

Definition at line 220 of file CMXpackage.vhd.

arr_sig_36bit array ( integer range<> ) of signed ( 35 downto 0 )
Type

Definition at line 221 of file CMXpackage.vhd.

arr_sig_37bit array ( integer range<> ) of signed ( 36 downto 0 )
Type

Definition at line 222 of file CMXpackage.vhd.

arr_sig_38bit array ( integer range<> ) of signed ( 37 downto 0 )
Type

Definition at line 223 of file CMXpackage.vhd.

arr_sig_39bit array ( integer range<> ) of signed ( 38 downto 0 )
Type

Definition at line 224 of file CMXpackage.vhd.

arr_sig_3bit array ( integer range<> ) of signed ( 2 downto 0 )
Type

Definition at line 188 of file CMXpackage.vhd.

arr_sig_40bit array ( integer range<> ) of signed ( 39 downto 0 )
Type

Definition at line 225 of file CMXpackage.vhd.

arr_sig_41bit array ( integer range<> ) of signed ( 40 downto 0 )
Type

Definition at line 226 of file CMXpackage.vhd.

arr_sig_42bit array ( integer range<> ) of signed ( 41 downto 0 )
Type

Definition at line 227 of file CMXpackage.vhd.

arr_sig_43bit array ( integer range<> ) of signed ( 42 downto 0 )
Type

Definition at line 228 of file CMXpackage.vhd.

arr_sig_44bit array ( integer range<> ) of signed ( 43 downto 0 )
Type

Definition at line 229 of file CMXpackage.vhd.

arr_sig_45bit array ( integer range<> ) of signed ( 44 downto 0 )
Type

Definition at line 230 of file CMXpackage.vhd.

arr_sig_46bit array ( integer range<> ) of signed ( 45 downto 0 )
Type

Definition at line 231 of file CMXpackage.vhd.

arr_sig_47bit array ( integer range<> ) of signed ( 46 downto 0 )
Type

Definition at line 232 of file CMXpackage.vhd.

arr_sig_48bit array ( integer range<> ) of signed ( 47 downto 0 )
Type

Definition at line 233 of file CMXpackage.vhd.

arr_sig_49bit array ( integer range<> ) of signed ( 48 downto 0 )
Type

Definition at line 234 of file CMXpackage.vhd.

arr_sig_4bit array ( integer range<> ) of signed ( 3 downto 0 )
Type

Definition at line 189 of file CMXpackage.vhd.

arr_sig_50bit array ( integer range<> ) of signed ( 49 downto 0 )
Type

Definition at line 235 of file CMXpackage.vhd.

arr_sig_51bit array ( integer range<> ) of signed ( 50 downto 0 )
Type

Definition at line 236 of file CMXpackage.vhd.

arr_sig_52bit array ( integer range<> ) of signed ( 51 downto 0 )
Type

Definition at line 237 of file CMXpackage.vhd.

arr_sig_53bit array ( integer range<> ) of signed ( 52 downto 0 )
Type

Definition at line 238 of file CMXpackage.vhd.

arr_sig_54bit array ( integer range<> ) of signed ( 53 downto 0 )
Type

Definition at line 239 of file CMXpackage.vhd.

arr_sig_55bit array ( integer range<> ) of signed ( 54 downto 0 )
Type

Definition at line 240 of file CMXpackage.vhd.

arr_sig_56bit array ( integer range<> ) of signed ( 55 downto 0 )
Type

Definition at line 241 of file CMXpackage.vhd.

arr_sig_57bit array ( integer range<> ) of signed ( 56 downto 0 )
Type

Definition at line 242 of file CMXpackage.vhd.

arr_sig_58bit array ( integer range<> ) of signed ( 57 downto 0 )
Type

Definition at line 243 of file CMXpackage.vhd.

arr_sig_59bit array ( integer range<> ) of signed ( 58 downto 0 )
Type

Definition at line 244 of file CMXpackage.vhd.

arr_sig_5bit array ( integer range<> ) of signed ( 4 downto 0 )
Type

Definition at line 190 of file CMXpackage.vhd.

arr_sig_60bit array ( integer range<> ) of signed ( 59 downto 0 )
Type

Definition at line 245 of file CMXpackage.vhd.

arr_sig_61bit array ( integer range<> ) of signed ( 60 downto 0 )
Type

Definition at line 246 of file CMXpackage.vhd.

arr_sig_62bit array ( integer range<> ) of signed ( 61 downto 0 )
Type

Definition at line 247 of file CMXpackage.vhd.

arr_sig_63bit array ( integer range<> ) of signed ( 62 downto 0 )
Type

Definition at line 248 of file CMXpackage.vhd.

arr_sig_64bit array ( integer range<> ) of signed ( 63 downto 0 )
Type

Definition at line 249 of file CMXpackage.vhd.

arr_sig_65bit array ( integer range<> ) of signed ( 64 downto 0 )
Type

Definition at line 250 of file CMXpackage.vhd.

arr_sig_66bit array ( integer range<> ) of signed ( 65 downto 0 )
Type

Definition at line 251 of file CMXpackage.vhd.

arr_sig_67bit array ( integer range<> ) of signed ( 66 downto 0 )
Type

Definition at line 252 of file CMXpackage.vhd.

arr_sig_68bit array ( integer range<> ) of signed ( 67 downto 0 )
Type

Definition at line 253 of file CMXpackage.vhd.

arr_sig_69bit array ( integer range<> ) of signed ( 68 downto 0 )
Type

Definition at line 254 of file CMXpackage.vhd.

arr_sig_6bit array ( integer range<> ) of signed ( 5 downto 0 )
Type

Definition at line 191 of file CMXpackage.vhd.

arr_sig_70bit array ( integer range<> ) of signed ( 69 downto 0 )
Type

Definition at line 255 of file CMXpackage.vhd.

arr_sig_71bit array ( integer range<> ) of signed ( 70 downto 0 )
Type

Definition at line 256 of file CMXpackage.vhd.

arr_sig_72bit array ( integer range<> ) of signed ( 71 downto 0 )
Type

Definition at line 257 of file CMXpackage.vhd.

arr_sig_73bit array ( integer range<> ) of signed ( 72 downto 0 )
Type

Definition at line 258 of file CMXpackage.vhd.

arr_sig_74bit array ( integer range<> ) of signed ( 73 downto 0 )
Type

Definition at line 259 of file CMXpackage.vhd.

arr_sig_75bit array ( integer range<> ) of signed ( 74 downto 0 )
Type

Definition at line 260 of file CMXpackage.vhd.

arr_sig_76bit array ( integer range<> ) of signed ( 75 downto 0 )
Type

Definition at line 261 of file CMXpackage.vhd.

arr_sig_77bit array ( integer range<> ) of signed ( 76 downto 0 )
Type

Definition at line 262 of file CMXpackage.vhd.

arr_sig_78bit array ( integer range<> ) of signed ( 77 downto 0 )
Type

Definition at line 263 of file CMXpackage.vhd.

arr_sig_79bit array ( integer range<> ) of signed ( 78 downto 0 )
Type

Definition at line 264 of file CMXpackage.vhd.

arr_sig_7bit array ( integer range<> ) of signed ( 6 downto 0 )
Type

Definition at line 192 of file CMXpackage.vhd.

arr_sig_80bit array ( integer range<> ) of signed ( 79 downto 0 )
Type

Definition at line 265 of file CMXpackage.vhd.

arr_sig_81bit array ( integer range<> ) of signed ( 80 downto 0 )
Type

Definition at line 266 of file CMXpackage.vhd.

arr_sig_82bit array ( integer range<> ) of signed ( 81 downto 0 )
Type

Definition at line 267 of file CMXpackage.vhd.

arr_sig_83bit array ( integer range<> ) of signed ( 82 downto 0 )
Type

Definition at line 268 of file CMXpackage.vhd.

arr_sig_84bit array ( integer range<> ) of signed ( 83 downto 0 )
Type

Definition at line 269 of file CMXpackage.vhd.

arr_sig_85bit array ( integer range<> ) of signed ( 84 downto 0 )
Type

Definition at line 270 of file CMXpackage.vhd.

arr_sig_86bit array ( integer range<> ) of signed ( 85 downto 0 )
Type

Definition at line 271 of file CMXpackage.vhd.

arr_sig_87bit array ( integer range<> ) of signed ( 86 downto 0 )
Type

Definition at line 272 of file CMXpackage.vhd.

arr_sig_88bit array ( integer range<> ) of signed ( 87 downto 0 )
Type

Definition at line 273 of file CMXpackage.vhd.

arr_sig_89bit array ( integer range<> ) of signed ( 88 downto 0 )
Type

Definition at line 274 of file CMXpackage.vhd.

arr_sig_8bit array ( integer range<> ) of signed ( 7 downto 0 )
Type

Definition at line 193 of file CMXpackage.vhd.

arr_sig_90bit array ( integer range<> ) of signed ( 89 downto 0 )
Type

Definition at line 275 of file CMXpackage.vhd.

arr_sig_91bit array ( integer range<> ) of signed ( 90 downto 0 )
Type

Definition at line 276 of file CMXpackage.vhd.

arr_sig_92bit array ( integer range<> ) of signed ( 91 downto 0 )
Type

Definition at line 277 of file CMXpackage.vhd.

arr_sig_93bit array ( integer range<> ) of signed ( 92 downto 0 )
Type

Definition at line 278 of file CMXpackage.vhd.

arr_sig_94bit array ( integer range<> ) of signed ( 93 downto 0 )
Type

Definition at line 279 of file CMXpackage.vhd.

arr_sig_95bit array ( integer range<> ) of signed ( 94 downto 0 )
Type

Definition at line 280 of file CMXpackage.vhd.

arr_sig_96bit array ( integer range<> ) of signed ( 95 downto 0 )
Type

Definition at line 281 of file CMXpackage.vhd.

arr_sig_97bit array ( integer range<> ) of signed ( 96 downto 0 )
Type

Definition at line 282 of file CMXpackage.vhd.

arr_sig_98bit array ( integer range<> ) of signed ( 97 downto 0 )
Type

Definition at line 283 of file CMXpackage.vhd.

arr_sig_99bit array ( integer range<> ) of signed ( 98 downto 0 )
Type

Definition at line 284 of file CMXpackage.vhd.

arr_sig_9bit array ( integer range<> ) of signed ( 8 downto 0 )
Type

Definition at line 194 of file CMXpackage.vhd.

arr_time_multiplex_data_in array ( integer range<> ) of STD_LOGIC_VECTOR ( TX_time_multiplex_indata_length - 1 downto 0 )
Type

Definition at line 385 of file CMXpackage.vhd.

arr_time_multiplex_data_out array ( integer range<> ) of STD_LOGIC_VECTOR ( TX_time_multiplex_odata_length - 1 downto 0 )
Type

Definition at line 386 of file CMXpackage.vhd.

arr_word array ( integer range<> ) of STD_LOGIC_VECTOR ( numbitsinchan - 1 downto 0 )
Type

Definition at line 31 of file CMXpackage.vhd.

arr_wordData array ( integer range<> ) of STD_LOGIC_VECTOR ( numbitsinchan - 2 downto 0 )
Type

Definition at line 32 of file CMXpackage.vhd.

arr_wr_data_count array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
Type

Definition at line 390 of file CMXpackage.vhd.

cable_del_array_type array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
Type

Definition at line 28 of file CMXpackage.vhd.

DATAIN string := " .\sources\datain.txt "
Constant

Definition at line 328 of file CMXpackage.vhd.

DATAOUT string := " .\sources\dataout.txt "
Constant

Definition at line 329 of file CMXpackage.vhd.

del_register_rtm_type array ( numbits_in_RTM_connector downto 0 ) of STD_LOGIC_VECTOR ( 4 downto 0 )
Type

Definition at line 321 of file CMXpackage.vhd.

del_register_type array ( numactchan - 1 downto 0 , numbitsinchan downto 0 ) of STD_LOGIC_VECTOR ( 4 downto 0 )
Type

Definition at line 316 of file CMXpackage.vhd.

fiber_to_gtx fiber_to_gtx_arr := ( 11 , 0 , 10 , 1 , 8 , 3 , 9 , 2 , 7 , 4 , 6 , 5 , 23 , 12 , 22 , 13 , 20 , 15 , 21 , 14 , 19 , 16 , 18 , 17 )
Constant

Definition at line 449 of file CMXpackage.vhd.

fiber_to_gtx_arr array ( 0 to num_GTX_groups * num_GTX_per_group - 1 ) of integer
Type

Definition at line 447 of file CMXpackage.vhd.

gen_RX std_logic := ' 0 '
Constant

Definition at line 400 of file CMXpackage.vhd.

gen_Topo_TX_chipscope std_logic := ' 1 '
Constant

Definition at line 404 of file CMXpackage.vhd.

gtx_CLK25_DIVIDER integer := 13
Constant

Definition at line 428 of file CMXpackage.vhd.

GTX_data_word_width integer := 16
Constant

Definition at line 352 of file CMXpackage.vhd.

gtx_DIVSEL45_FB integer := 5
Constant

Definition at line 427 of file CMXpackage.vhd.

gtx_mmcm_CLKFBOUT_MULT_F real := 8 . 0
Constant

Definition at line 419 of file CMXpackage.vhd.

gtx_mmcm_CLKIN1_PERIOD real := 3 . 118
Constant

Definition at line 421 of file CMXpackage.vhd.

gtx_mmcm_CLKOUT0_DIVIDE_F real := 4 . 0
Constant

Definition at line 422 of file CMXpackage.vhd.

gtx_mmcm_DIVCLK_DIVIDE integer := 2
Constant

Definition at line 420 of file CMXpackage.vhd.

gtx_PLL_DIVSEL_FB integer := 2
Constant

Definition at line 424 of file CMXpackage.vhd.

gtx_PLL_DIVSEL_OUT integer := 1
Constant

Definition at line 425 of file CMXpackage.vhd.

gtx_PLL_DIVSEL_REF integer := 1
Constant

Definition at line 426 of file CMXpackage.vhd.

IEEE
Library

Definition at line 6 of file CMXpackage.vhd.

Definition at line 9 of file CMXpackage.vhd.

Definition at line 8 of file CMXpackage.vhd.

Definition at line 7 of file CMXpackage.vhd.

logic_vector_2d array ( integer range<> , integer range<> ) of std_logic
Type

Definition at line 313 of file CMXpackage.vhd.

long_unsigned_array array ( integer range<> ) of unsigned ( 13 downto 0 )
Type

Definition at line 408 of file CMXpackage.vhd.

LOOPBACK std_logic_vector ( 2 downto 0 ) := " 000 "
Constant

Definition at line 416 of file CMXpackage.vhd.

mat_var array ( integer range<> ) of std_logic_vector ( numbitsinchan downto 0 )
Type

Definition at line 30 of file CMXpackage.vhd.

max_ctr32 unsigned ( 31 downto 0 ) := x " ffffffff "
Constant

Definition at line 310 of file CMXpackage.vhd.

not_gen_RX std_logic := not gen_RX
Constant

Definition at line 412 of file CMXpackage.vhd.

num_fifos_per_group integer := 12
Constant

Definition at line 358 of file CMXpackage.vhd.

num_GTX_groups integer := 2
Constant

Definition at line 350 of file CMXpackage.vhd.

Definition at line 360 of file CMXpackage.vhd.

num_GTX_per_group integer := 12
Constant

Definition at line 351 of file CMXpackage.vhd.

num_IDELAYCTRL integer := 12
Constant

Definition at line 331 of file CMXpackage.vhd.

num_RTM_IDELAYCTRL integer := 2
Constant

Definition at line 334 of file CMXpackage.vhd.

num_vio_groups integer := 3
Constant

Definition at line 362 of file CMXpackage.vhd.

numactchan integer := 16
Constant

Definition at line 16 of file CMXpackage.vhd.

numbits_in_CTP_connector integer := 31
Constant

Definition at line 23 of file CMXpackage.vhd.

numbits_in_RTM_connector integer := 26
Constant

Definition at line 24 of file CMXpackage.vhd.

numbitsinchan integer := 24
Constant

Definition at line 17 of file CMXpackage.vhd.

phase_shifts_arr array ( 0 to numactchan - 1 ) of real
Type

Definition at line 451 of file CMXpackage.vhd.

rx_clk_source_offset integer := 4
Constant

Definition at line 395 of file CMXpackage.vhd.

RXPOWERDOWN std_logic_vector ( 1 downto 0 ) := not_gen_RX & not_gen_RX
Constant

Definition at line 413 of file CMXpackage.vhd.

short_unsigned_array array ( integer range<> ) of unsigned ( 4 downto 0 )
Type

Definition at line 409 of file CMXpackage.vhd.

Definition at line 381 of file CMXpackage.vhd.

Definition at line 383 of file CMXpackage.vhd.

Definition at line 370 of file CMXpackage.vhd.

Definition at line 372 of file CMXpackage.vhd.

Definition at line 376 of file CMXpackage.vhd.

TX_time_multiplex_odata_length integer := 18
Constant

Definition at line 379 of file CMXpackage.vhd.

use_RX_elastic BOOLEAN := TRUE
Constant

Definition at line 430 of file CMXpackage.vhd.

version_common std_logic_vector ( 15 downto 0 ) := x " F0A3 "
Constant

Definition at line 14 of file CMXpackage.vhd.

VME_read_det_delay integer := 42
Constant

Definition at line 20 of file CMXpackage.vhd.


The documentation for this class was generated from the following file: