1 ----------------------------------------------------------------------------------
8 --transmission over the RTM cables
9 ----------------------------------------------------------------------------------
12 use IEEE.STD_LOGIC_1164.
ALL;
16 use UNISIM.VComponents.
all;
31 buf_clk200 : in ;
-- global 200 MHz clock for iodelay calibration
37 end CMX_cable_clocked_80Mbps_input_module;
61 --component CMX_RTM_data_delay
63 -- inst_IDELAYCTRL: std_logic :='1');
65 -- RTM_data : in std_logic_vector(numbitsinchan_LVDS_RTM downto 0);
66 -- REF_CLK_200 : in std_logic;
67 -- REF_CLK_READY : in std_logic;
68 -- CLK_40 : in std_logic;
69 -- del_register : in del_register_rtm_type;
70 -- upload_delays : in std_logic;
71 -- IDELAYCTRL_RDY : out std_logic;
72 -- delayed_RTM_data : out std_logic_vector(numbitsinchan_LVDS_RTM downto 0));
79 --the data straight from the RTM module are passed through the IDELAY
80 -- CMX_RTM_data_delay_i: CMX_RTM_data_delay
82 -- inst_IDELAYCTRL => inst_IDELAYCTRL)
84 -- RTM_data => RTM_data,
85 -- REF_CLK_200 => buf_clk200,
86 -- REF_CLK_READY => pll_locked,
87 -- CLK_40 => buf_clk40,
88 -- del_register => del_register_rtm,
89 -- upload_delays => upload_delays,
90 -- IDELAYCTRL_RDY => open,
91 -- delayed_RTM_data(numbitsinchan_LVDS_RTM-1 downto 0) => delayed_RTM_data,
92 -- delayed_RTM_data(numbitsinchan_LVDS_RTM) => delayed_RTM_clock);
99 BUFR_DIVIDE =>
"BYPASS",
-- Values: "BYPASS", "1", "2", "3", "4", "5", "6", "7", "8"
100 SIM_DEVICE =>
"VIRTEX6" -- Must be set to "VIRTEX6"
111 --- O => delayed_cable_clock_buffered,
112 --- I => delayed_cable_clock);
119 DDR_CLK_EDGE =>
"OPPOSITE_EDGE",
--"SAME_EDGE_PIPELINED", -- "OPPOSITE_EDGE", "SAME_EDGE"
120 -- or "SAME_EDGE_PIPELINED"
121 INIT_Q1 => '0',
-- Initial value of Q1: '0' or '1'
122 INIT_Q2 => '0',
-- Initial value of Q2: '0' or '1'
123 SRTYPE =>
"SYNC") -- Set/Reset type: "SYNC" or "ASYNC"
125 Q1 =>
PDATA(bitnum
),
-- 1-bit output for positive edge of clock
126 Q2 =>
NDATA(bitnum
),
-- 1-bit output for negative edge of clock
128 CE => '1',
-- 1-bit clock enable input
131 S => '0'
-- 1-bit set
147 end generate xor_gen_P;
153 end generate xor_gen_N;