CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
cmx_base_vme_bspt.vhd
Go to the documentation of this file.
1 
7 -- VHDL Entity cmm_vme_cpld.vme_interface.symbol
8 --
9 -- Created:
10 -- by - ipb28.UNKNOWN (TE2MALDIVES)
11 -- at - 15:02:25 29/08/2008
12 --
13 -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
14 --
15 -- from 11.01.2014 modified by YE for CMX ("ye_rw80" and "ye_rw82" removed)
16 -- from 15.01.2014 modified by YE for CMX_BASE FPGA (name changed from vme_interface to CMX_BASE_VME_INTERFACE)
17 
18 LIBRARY ieee;
19 USE ieee.std_logic_1164.all;
20 USE ieee.numeric_std.all;
21 --use work.cmx_pkg.all; -- modified CMM packages vme_cmm and cmm_array_types(Ian)
22 
23 
25  PORT(
26  clk40 : IN std_logic; -- 40MHz Clk
27  geoadd_0 : IN std_logic; -- GeoAddr0
28  n_ds0_int : IN std_logic; -- DS strobe
29  n_write : IN std_logic; -- VME Write
30  vme_address : IN std_logic_vector (23 DOWNTO 1); -- Address bus
31  board_ds : OUT std_logic; -- Board ds
32  brdsel_n : OUT std_logic -- Board select
33  );
34 END CMX_BASE_VME_BSPT ;
35 
36 
37 ARCHITECTURE STR OF CMX_BASE_VME_BSPT IS
38 
39  -- Component Declarations
40 
41  COMPONENT input_latch
42  PORT (
43  n_ds0_int : IN std_logic;
44  n_write : IN std_logic;
45  vme_address : IN std_logic_vector (23 DOWNTO 1);
46  address_ltchd : OUT std_logic_vector (23 DOWNTO 1);
47  n_write_ltchd : OUT std_logic
48  );
49  END COMPONENT;
50  -- internal signals for input_latch
51  SIGNAL address_ltchd : std_logic_vector(23 DOWNTO 1);
52  SIGNAL n_write_ltchd : std_logic;
53 
54  COMPONENT CMM_Board_Select
55  PORT (
56  addressbus : IN std_logic_vector (23 DOWNTO 19);
57  geoaddr_0 : IN std_logic;
58  board_select_n : OUT std_logic
59  );
60  END COMPONENT;
61  -- internal signals for CMM_Board_Select
62  SIGNAL board_select_n : std_logic;
63 
64 BEGIN
65 
66  -- vme_interface output signals
69 
70  -- Instance port mappings
71 
73  PORT MAP (
75  n_write => n_write,
79  );
80 
82  PORT MAP (
83  addressbus => address_ltchd(23 DOWNTO 19),
86  );
87 
88 END STR;
in n_writestd_logic
CMM_Board_Select vmeif_cmm_board_selectvmeif_cmm_board_select
in n_ds0_intstd_logic
in vme_addressstd_logic_vector (23 downto 1)
std_logic_vector (23 downto 1) address_ltchd
out board_dsstd_logic
out board_select_nstd_logic
in vme_addressstd_logic_vector (23 downto 1)
in n_ds0_intstd_logic
out brdsel_nstd_logic
input_latch vmeif_input_latchvmeif_input_latch
in addressbusstd_logic_vector (23 downto 19)
out n_write_ltchdstd_logic
out address_ltchdstd_logic_vector (23 downto 1)