CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_delay_generator Entity Reference
Inheritance diagram for CMX_delay_generator:
vme_local_switch vme_inreg_notri_async vme_inreg_notri

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 
UNISIM 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 
UNISIM.VComponents.all 
IEEE.MATH_REAL.all 

Generics

start_address  integer

Ports

clk40   in std_logic
ncs   in std_logic
rd_nwr   in std_logic
ds   in std_logic
addr_vme   in std_logic_vector ( 15 downto 0 )
data_vme_in   in std_logic_vector ( 15 downto 0 )
data_vme_out   out std_logic_vector ( 15 downto 0 )
bus_drive   out std_logic
del_register   out del_register_type
upload_delays   out std_logic

Detailed Description

Definition at line 28 of file CMX_delay_generator.vhd.

Member Data Documentation

addr_vme in std_logic_vector ( 15 downto 0 )
Port

Definition at line 37 of file CMX_delay_generator.vhd.

bus_drive out std_logic
Port

Definition at line 40 of file CMX_delay_generator.vhd.

clk40 in std_logic
Port

Definition at line 33 of file CMX_delay_generator.vhd.

data_vme_in in std_logic_vector ( 15 downto 0 )
Port

Definition at line 38 of file CMX_delay_generator.vhd.

data_vme_out out std_logic_vector ( 15 downto 0 )
Port

Definition at line 39 of file CMX_delay_generator.vhd.

del_register out del_register_type
Port

Definition at line 41 of file CMX_delay_generator.vhd.

ds in std_logic
Port

Definition at line 36 of file CMX_delay_generator.vhd.

IEEE
Library

Definition at line 9 of file CMX_delay_generator.vhd.

Definition at line 24 of file CMX_delay_generator.vhd.

Definition at line 10 of file CMX_delay_generator.vhd.

ncs in std_logic
Port

Definition at line 34 of file CMX_delay_generator.vhd.

rd_nwr in std_logic
Port

Definition at line 35 of file CMX_delay_generator.vhd.

start_address integer
Generic

Definition at line 30 of file CMX_delay_generator.vhd.

UNISIM
Library

Definition at line 22 of file CMX_delay_generator.vhd.

Definition at line 23 of file CMX_delay_generator.vhd.

upload_delays out std_logic
Port

Definition at line 42 of file CMX_delay_generator.vhd.

work
Library

Definition at line 12 of file CMX_delay_generator.vhd.

Definition at line 13 of file CMX_delay_generator.vhd.


The documentation for this class was generated from the following file: