CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_local_package Package Reference

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
work.CMXpackage.all 

Constants

version_flavor_local  std_logic_vector ( 15 downto 0 ) := x " F0A1 "
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0006 "
num_RTM_cables  integer := 2
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0007 "
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0002 "
num_RTM_cables  integer := 1
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0003 "
num_RTM_cables  integer := 3
version_flavor_local  std_logic_vector ( 15 downto 0 ) := x " F0A2 "
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0004 "
this_cmx_flavor  std_logic_vector ( 15 downto 0 ) := x " 0005 "

Types

arr_RTM_sdr array ( num_RTM_cables - 1 downto 0 ) of std_logic_vector ( ( numbits_in_RTM_connector * 2 ) - 1 downto 0

Detailed Description

Definition at line 13 of file CMX_local_package.vhd.

Member Data Documentation

arr_RTM_sdr array ( num_RTM_cables - 1 downto 0 ) of std_logic_vector ( ( numbits_in_RTM_connector * 2 ) - 1 downto 0
Type

Definition at line 21 of file CMX_local_package.vhd.

IEEE
Library

Definition at line 7 of file CMX_local_package.vhd.

Definition at line 9 of file CMX_local_package.vhd.

Definition at line 8 of file CMX_local_package.vhd.

num_RTM_cables integer := 1
Constant

Definition at line 19 of file CMX_local_package.vhd.

num_RTM_cables integer := 3
Constant

Definition at line 19 of file CMX_local_package.vhd.

num_RTM_cables integer := 2
Constant

Definition at line 19 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0003 "
Constant

Definition at line 16 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0007 "
Constant

Definition at line 17 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0004 "
Constant

Definition at line 17 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0005 "
Constant

Definition at line 17 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0002 "
Constant

Definition at line 17 of file CMX_local_package.vhd.

this_cmx_flavor std_logic_vector ( 15 downto 0 ) := x " 0006 "
Constant

Definition at line 17 of file CMX_local_package.vhd.

version_flavor_local std_logic_vector ( 15 downto 0 ) := x " F0A2 "
Constant

Definition at line 15 of file CMX_local_package.vhd.

version_flavor_local std_logic_vector ( 15 downto 0 ) := x " F0A1 "
Constant

Definition at line 15 of file CMX_local_package.vhd.

Definition at line 11 of file CMX_local_package.vhd.


The documentation for this class was generated from the following file: