CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_local_package.vhd
Go to the documentation of this file.
1 
6 
7 library IEEE;
8 use IEEE.STD_LOGIC_1164.ALL;
9 use IEEE.NUMERIC_STD.ALL;
10 
11 use work.CMXpackage.all;
12 
13 package CMX_local_package is
14 
15  constant version_flavor_local : std_logic_vector(15 downto 0):=x"F0A1";
16 
17  constant this_cmx_flavor : std_logic_vector(15 downto 0):=x"0002";
18 
19  constant num_RTM_cables : integer := 1;
20 
21  type arr_RTM_sdr is array(num_RTM_cables-1 downto 0) of std_logic_vector((numbits_in_RTM_connector*2)-1 downto 0);
22 
23 end CMX_local_package;
24 
integer :=1 num_RTM_cables
array (num_RTM_cables - 1 downto 0 ) of std_logic_vector ((numbits_in_RTM_connector * 2) - 1 downto 0 arr_RTM_sdr)
std_logic_vector (15 downto 0) :=x"F0A2" version_flavor_local
_library_ IEEEIEEE
std_logic_vector (15 downto 0) :=x"0003" this_cmx_flavor