CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_version Entity Reference
Inheritance diagram for CMX_version:
vme_local_switch vme_outreg_notri_async

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 
work.CMX_flavor_package.all 
work.CMX_local_package.all 
work.CMX_VME_defs.all 

Ports

clk40   in std_logic
ncs   in std_logic
rd_nwr   in std_logic
ds   in std_logic
addr_vme   in std_logic_vector ( 15 downto 0 )
data_vme_out   out std_logic_vector ( 15 downto 0 )
bus_drive   out std_logic

Detailed Description

Definition at line 19 of file CMX_version.vhd.

Member Data Documentation

addr_vme in std_logic_vector ( 15 downto 0 )
Port

Definition at line 25 of file CMX_version.vhd.

bus_drive out std_logic
Port

Definition at line 27 of file CMX_version.vhd.

clk40 in std_logic
Port

Definition at line 21 of file CMX_version.vhd.

data_vme_out out std_logic_vector ( 15 downto 0 )
Port

Definition at line 26 of file CMX_version.vhd.

ds in std_logic
Port

Definition at line 24 of file CMX_version.vhd.

IEEE
Library

Definition at line 9 of file CMX_version.vhd.

Definition at line 10 of file CMX_version.vhd.

ncs in std_logic
Port

Definition at line 22 of file CMX_version.vhd.

rd_nwr in std_logic
Port

Definition at line 23 of file CMX_version.vhd.

work
Library

Definition at line 12 of file CMX_version.vhd.

Definition at line 14 of file CMX_version.vhd.

Definition at line 15 of file CMX_version.vhd.

Definition at line 17 of file CMX_version.vhd.

Definition at line 13 of file CMX_version.vhd.


The documentation for this class was generated from the following file: