CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Components

vme_local_switch  <Entity vme_local_switch>
vme_outreg_notri_async  <Entity vme_outreg_notri_async>

Signals

data_to_vme  arr_16 ( numactchan * ( numbitsinchan + 1 ) - 1 downto 0 )
data_vme_out_local  arr_16 ( 3 downto 0 )
bus_drive_local  std_logic_vector ( 3 downto 0 )
data_to_vme_REG_RO_VERSION_COMMON  std_logic_vector ( 15 downto 0 )
data_to_vme_REG_RO_VERSION_FLAVOR_COMMON  std_logic_vector ( 15 downto 0 )
data_to_vme_REG_RO_VERSION_FLAVOR_LOCAL  std_logic_vector ( 15 downto 0 )
data_to_vme_REG_RO_CMX_FLAVOR  std_logic_vector ( 15 downto 0 )

Instantiations

vme_local_switch_inst  vme_local_switch <Entity vme_local_switch>
vme_outreg_notri_async_reg_ro_version_common  vme_outreg_notri_async <Entity vme_outreg_notri_async>
vme_outreg_notri_async_reg_ro_version_flavor_common  vme_outreg_notri_async <Entity vme_outreg_notri_async>
vme_outreg_notri_async_reg_ro_version_flavor_local  vme_outreg_notri_async <Entity vme_outreg_notri_async>
vme_outreg_notri_async_reg_ro_cmx_flavor  vme_outreg_notri_async <Entity vme_outreg_notri_async>

Detailed Description

Definition at line 31 of file CMX_version.vhd.

Member Data Documentation

bus_drive_local std_logic_vector ( 3 downto 0 )
Signal

Definition at line 61 of file CMX_version.vhd.

data_to_vme arr_16 ( numactchan * ( numbitsinchan + 1 ) - 1 downto 0 )
Signal

Definition at line 58 of file CMX_version.vhd.

data_to_vme_REG_RO_CMX_FLAVOR std_logic_vector ( 15 downto 0 )
Signal

Definition at line 66 of file CMX_version.vhd.

data_to_vme_REG_RO_VERSION_COMMON std_logic_vector ( 15 downto 0 )
Signal

Definition at line 63 of file CMX_version.vhd.

data_to_vme_REG_RO_VERSION_FLAVOR_COMMON std_logic_vector ( 15 downto 0 )
Signal

Definition at line 64 of file CMX_version.vhd.

data_to_vme_REG_RO_VERSION_FLAVOR_LOCAL std_logic_vector ( 15 downto 0 )
Signal

Definition at line 65 of file CMX_version.vhd.

data_vme_out_local arr_16 ( 3 downto 0 )
Signal

Definition at line 60 of file CMX_version.vhd.

vme_local_switch
Component

Definition at line 35 of file CMX_version.vhd.

vme_local_switch_inst vme_local_switch
Instantiation

Definition at line 71 of file CMX_version.vhd.

Definition at line 43 of file CMX_version.vhd.

vme_outreg_notri_async_reg_ro_cmx_flavor vme_outreg_notri_async
Instantiation

Definition at line 128 of file CMX_version.vhd.

vme_outreg_notri_async_reg_ro_version_common vme_outreg_notri_async
Instantiation

Definition at line 79 of file CMX_version.vhd.

vme_outreg_notri_async_reg_ro_version_flavor_common vme_outreg_notri_async
Instantiation

Definition at line 95 of file CMX_version.vhd.

vme_outreg_notri_async_reg_ro_version_flavor_local vme_outreg_notri_async
Instantiation

Definition at line 112 of file CMX_version.vhd.


The documentation for this class was generated from the following file: