CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Delay Entity Reference

Entities

Behavioral  architecture
 

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 

Generics

del_length  integer := 2

Ports

undelayed_in   in std_logic
delayed_out   out std_logic
clk   in std_logic

Detailed Description

Definition at line 18 of file Delay.vhd.

Member Data Documentation

clk in std_logic
Port

Definition at line 24 of file Delay.vhd.

del_length integer := 2
Generic

Definition at line 20 of file Delay.vhd.

delayed_out out std_logic
Port

Definition at line 23 of file Delay.vhd.

IEEE
Library

Definition at line 6 of file Delay.vhd.

Definition at line 7 of file Delay.vhd.

undelayed_in in std_logic
Port

Definition at line 22 of file Delay.vhd.


The documentation for this class was generated from the following file: