CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Topo_Data_TX Entity Reference
Inheritance diagram for Topo_Data_TX:
vme_inreg_notri_async tx_sync CRC_CHECK rx_sync and_all mini_fifo time_multiplex_8to1 mini_fifo_synchroniser or_all vme_local_switch

Entities

RTL  architecture
 

Libraries

ieee 
UNISIM 
work 

Use Clauses

ieee.std_logic_1164.all 
ieee.std_logic_misc.all 
ieee.numeric_std.all 
UNISIM.VCOMPONENTS.all 
work.CMXpackage.all 
work.CMX_VME_defs.all 

Ports

MGTREFCLK_PAD_N_IN   in std_logic_vector ( num_GTX_groups - 1 downto 0 )
MGTREFCLK_PAD_P_IN   in std_logic_vector ( num_GTX_groups - 1 downto 0 )
GTXTXRESET_IN   in std_logic
GTXRXRESET_IN   in std_logic
GTX_TX_READY_OUT   out std_logic
GTX_RX_READY_OUT   out std_logic
RXN_IN   in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
RXP_IN   in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
TXN_OUT   out std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
TXP_OUT   out std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
clk40   in std_logic
clk320   in std_logic
pll_locked   in std_logic
send_align   in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
BCID   in std_logic_vector ( 11 downto 0 )
indata   in std_logic_vector ( TX_indata_length - 1 downto 0 )
ext_trigger   in std_logic
ncs   in std_logic
rd_nwr   in std_logic
ds   in std_logic
addr_vme   in std_logic_vector ( 15 downto 0 )
data_vme_in   in std_logic_vector ( 15 downto 0 )
data_vme_out   out std_logic_vector ( 15 downto 0 )
bus_drive   out std_logic

Detailed Description

Definition at line 23 of file Topo_Data_TX.vhd.

Member Data Documentation

addr_vme in std_logic_vector ( 15 downto 0 )
Port

Definition at line 55 of file Topo_Data_TX.vhd.

BCID in std_logic_vector ( 11 downto 0 )
Port

Definition at line 42 of file Topo_Data_TX.vhd.

bus_drive out std_logic
Port

Definition at line 58 of file Topo_Data_TX.vhd.

clk320 in std_logic
Port

Definition at line 39 of file Topo_Data_TX.vhd.

clk40 in std_logic
Port

Definition at line 38 of file Topo_Data_TX.vhd.

data_vme_in in std_logic_vector ( 15 downto 0 )
Port

Definition at line 56 of file Topo_Data_TX.vhd.

data_vme_out out std_logic_vector ( 15 downto 0 )
Port

Definition at line 57 of file Topo_Data_TX.vhd.

ds in std_logic
Port

Definition at line 54 of file Topo_Data_TX.vhd.

ext_trigger in std_logic
Port

Definition at line 50 of file Topo_Data_TX.vhd.

GTX_RX_READY_OUT out std_logic
Port

Definition at line 32 of file Topo_Data_TX.vhd.

GTX_TX_READY_OUT out std_logic
Port

Definition at line 31 of file Topo_Data_TX.vhd.

GTXRXRESET_IN in std_logic
Port

Definition at line 30 of file Topo_Data_TX.vhd.

GTXTXRESET_IN in std_logic
Port

Definition at line 29 of file Topo_Data_TX.vhd.

ieee
Library

Definition at line 9 of file Topo_Data_TX.vhd.

Definition at line 12 of file Topo_Data_TX.vhd.

Definition at line 10 of file Topo_Data_TX.vhd.

Definition at line 11 of file Topo_Data_TX.vhd.

indata in std_logic_vector ( TX_indata_length - 1 downto 0 )
Port

Definition at line 48 of file Topo_Data_TX.vhd.

MGTREFCLK_PAD_N_IN in std_logic_vector ( num_GTX_groups - 1 downto 0 )
Port

Definition at line 27 of file Topo_Data_TX.vhd.

MGTREFCLK_PAD_P_IN in std_logic_vector ( num_GTX_groups - 1 downto 0 )
Port

Definition at line 28 of file Topo_Data_TX.vhd.

ncs in std_logic
Port

Definition at line 52 of file Topo_Data_TX.vhd.

pll_locked in std_logic
Port

Definition at line 40 of file Topo_Data_TX.vhd.

rd_nwr in std_logic
Port

Definition at line 53 of file Topo_Data_TX.vhd.

RXN_IN in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
Port

Definition at line 34 of file Topo_Data_TX.vhd.

RXP_IN in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
Port

Definition at line 35 of file Topo_Data_TX.vhd.

send_align in std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
Port

Definition at line 41 of file Topo_Data_TX.vhd.

TXN_OUT out std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
Port

Definition at line 36 of file Topo_Data_TX.vhd.

TXP_OUT out std_logic_vector ( ( num_GTX_per_group * num_GTX_groups ) - 1 downto 0 )
Port

Definition at line 37 of file Topo_Data_TX.vhd.

UNISIM
Library

Definition at line 13 of file Topo_Data_TX.vhd.

Definition at line 14 of file Topo_Data_TX.vhd.

work
Library

Definition at line 17 of file Topo_Data_TX.vhd.

Definition at line 19 of file Topo_Data_TX.vhd.

Definition at line 18 of file Topo_Data_TX.vhd.


The documentation for this class was generated from the following file: