CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
add2x2 Entity Reference
Inheritance diagram for add2x2:
main_sys

Entities

rtl  architecture
 

Libraries

ieee 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Ports

a   in std_logic_vector ( 1 downto 0 )
b   in std_logic_vector ( 1 downto 0 )
clk   in std_logic
sum   out std_logic_vector ( 1 downto 0 )

Detailed Description

Definition at line 16 of file add2x2.vhd.

Member Data Documentation

a in std_logic_vector ( 1 downto 0 )
Port

Definition at line 18 of file add2x2.vhd.

b in std_logic_vector ( 1 downto 0 )
Port

Definition at line 19 of file add2x2.vhd.

clk in std_logic
Port

Definition at line 20 of file add2x2.vhd.

ieee
Library

Definition at line 11 of file add2x2.vhd.

Definition at line 13 of file add2x2.vhd.

Definition at line 12 of file add2x2.vhd.

sum out std_logic_vector ( 1 downto 0 )
Port

Definition at line 21 of file add2x2.vhd.


The documentation for this class was generated from the following file: