CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
add3x2 Entity Reference
Inheritance diagram for add3x2:
main_sys

Entities

rtl_abx  architecture
 

Libraries

ieee 
cmm 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Ports

a   in std_logic_vector ( 2 downto 0 )
b   in std_logic_vector ( 2 downto 0 )
sum   out std_logic_vector ( 2 downto 0 )
clk   in std_logic

Detailed Description

Definition at line 17 of file add3x2.vhd.

Member Data Documentation

a in std_logic_vector ( 2 downto 0 )
Port

Definition at line 19 of file add3x2.vhd.

b in std_logic_vector ( 2 downto 0 )
Port

Definition at line 20 of file add3x2.vhd.

clk in std_logic
Port

Definition at line 22 of file add3x2.vhd.

cmm
Library

Definition at line 15 of file add3x2.vhd.

ieee
Library

Definition at line 12 of file add3x2.vhd.

Definition at line 14 of file add3x2.vhd.

Definition at line 13 of file add3x2.vhd.

sum out std_logic_vector ( 2 downto 0 )
Port

Definition at line 21 of file add3x2.vhd.


The documentation for this class was generated from the following file: