CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
add3x4 Entity Reference
Inheritance diagram for add3x4:
main_sys

Entities

rtl_abx  architecture
 

Libraries

ieee 
work 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Ports

a   in std_logic_vector ( 2 downto 0 )
b   in std_logic_vector ( 2 downto 0 )
c   in std_logic_vector ( 2 downto 0 )
d   in std_logic_vector ( 2 downto 0 )
sum   out std_logic_vector ( 2 downto 0 )
clk   in std_logic

Detailed Description

Definition at line 21 of file add3x4.vhd.

Member Data Documentation

a in std_logic_vector ( 2 downto 0 )
Port

Definition at line 23 of file add3x4.vhd.

b in std_logic_vector ( 2 downto 0 )
Port

Definition at line 24 of file add3x4.vhd.

c in std_logic_vector ( 2 downto 0 )
Port

Definition at line 25 of file add3x4.vhd.

clk in std_logic
Port

Definition at line 28 of file add3x4.vhd.

d in std_logic_vector ( 2 downto 0 )
Port

Definition at line 26 of file add3x4.vhd.

ieee
Library

Definition at line 13 of file add3x4.vhd.

Definition at line 15 of file add3x4.vhd.

Definition at line 14 of file add3x4.vhd.

sum out std_logic_vector ( 2 downto 0 )
Port

Definition at line 27 of file add3x4.vhd.

work
Library

Definition at line 16 of file add3x4.vhd.


The documentation for this class was generated from the following file: