CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
adder_counter Entity Reference
Inheritance diagram for adder_counter:
mult_cnt

Entities

Behavioral  architecture
 

Libraries

ieee 
unisim 
work 

Use Clauses

ieee.std_logic_1164.all 
IEEE.NUMERIC_STD.all 
unisim.vcomponents.all 
work.CMX_flavor_package.all 

Generics

flavor  T_SLV2 := " 00 "
thresholds_num  integer := 25
width  integer := 60

Ports

clk   T_SL
reset   T_SL
inhibit   T_SL
data   in std_logic_vector ( width - 1 downto 0 )
cnt_arr   out cnt_mult_arr ( thresholds_num - 1 downto 0 )

Detailed Description

Definition at line 21 of file adder_counter.vhd.

Member Data Documentation

clk T_SL
Port

Definition at line 28 of file adder_counter.vhd.

cnt_arr out cnt_mult_arr ( thresholds_num - 1 downto 0 )
Port

Definition at line 32 of file adder_counter.vhd.

data in std_logic_vector ( width - 1 downto 0 )
Port

Definition at line 31 of file adder_counter.vhd.

flavor T_SLV2 := " 00 "
Generic

Definition at line 23 of file adder_counter.vhd.

ieee
Library

Definition at line 11 of file adder_counter.vhd.

Definition at line 13 of file adder_counter.vhd.

Definition at line 12 of file adder_counter.vhd.

inhibit T_SL
Port

Definition at line 30 of file adder_counter.vhd.

reset T_SL
Port

Definition at line 29 of file adder_counter.vhd.

thresholds_num integer := 25
Generic

Definition at line 24 of file adder_counter.vhd.

unisim
Library

Definition at line 14 of file adder_counter.vhd.

Definition at line 15 of file adder_counter.vhd.

width integer := 60
Generic

Definition at line 25 of file adder_counter.vhd.

work
Library

Definition at line 17 of file adder_counter.vhd.

Definition at line 18 of file adder_counter.vhd.


The documentation for this class was generated from the following file: