CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
and_all Entity Reference
Inheritance diagram for and_all:
Topo_Data_TX

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 

Generics

numbits  integer := 2

Ports

DATA   in std_logic_vector ( numbits - 1 downto 0 )
and_all   out std_logic

Detailed Description

Definition at line 28 of file and_all.vhd.

Member Data Documentation

and_all out std_logic
Port

Definition at line 35 of file and_all.vhd.

DATA in std_logic_vector ( numbits - 1 downto 0 )
Port

Definition at line 34 of file and_all.vhd.

IEEE
Library

Definition at line 12 of file and_all.vhd.

Definition at line 13 of file and_all.vhd.

numbits integer := 2
Generic

Definition at line 31 of file and_all.vhd.

work
Library

Definition at line 15 of file and_all.vhd.

Definition at line 16 of file and_all.vhd.


The documentation for this class was generated from the following file: