CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
parity_gen Entity Reference
Inheritance diagram for parity_gen:
daq_collector main_sys

Entities

rtl  architecture
 

Libraries

ieee 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Generics

width  integer := 60

Ports

din   in std_logic_vector ( width - 1 downto 0 )
parity   out std_logic

Detailed Description

Definition at line 18 of file parity_gen.vhd.

Member Data Documentation

din in std_logic_vector ( width - 1 downto 0 )
Port

Definition at line 23 of file parity_gen.vhd.

ieee
Library

Definition at line 14 of file parity_gen.vhd.

Definition at line 16 of file parity_gen.vhd.

Definition at line 15 of file parity_gen.vhd.

parity out std_logic
Port

Definition at line 24 of file parity_gen.vhd.

width integer := 60
Generic

Definition at line 20 of file parity_gen.vhd.


The documentation for this class was generated from the following file: