CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
RTL Architecture Reference

Processes

PROCESS_85  ( USER_CLK )
PROCESS_86  ( USER_CLK )
PROCESS_87  ( USER_CLK )
PROCESS_88  ( USER_CLK )
PROCESS_89  ( USER_CLK )

Constants

DLY  time := 1 ns

Signals

begin_r  std_logic
phase_align_r  std_logic
ready_r  std_logic
sync_counter_r  unsigned ( 5 downto 0 )
sync_done_count_r  unsigned ( 5 downto 0 )
align_reset_counter_r  unsigned ( 4 downto 0 )
wait_after_sync_r  std_logic
wait_before_setphase_counter_r  unsigned ( 5 downto 0 )
wait_before_setphase_r  std_logic
align_reset_r  std_logic
count_32_setphase_complete_r  std_logic
count_32_wait_complete_r  std_logic
count_align_reset_complete_r  std_logic
next_phase_align_c  std_logic
next_align_reset_c  std_logic
next_ready_c  std_logic
next_wait_after_sync_c  std_logic
next_wait_before_setphase_c  std_logic
sync_32_times_done_r  std_logic

Attributes

max_fanout  string
max_fanout  ready_r : signal is " 2 "

Detailed Description

Definition at line 89 of file rx_sync.vhd.

Member Function Documentation

PROCESS_85 (   USER_CLK  
)
Process

Definition at line 139 of file rx_sync.vhd.

PROCESS_86 (   USER_CLK  
)
Process

Definition at line 178 of file rx_sync.vhd.

PROCESS_87 (   USER_CLK  
)
Process

Definition at line 193 of file rx_sync.vhd.

PROCESS_88 (   USER_CLK  
)
Process

Definition at line 207 of file rx_sync.vhd.

PROCESS_89 (   USER_CLK  
)
Process

Definition at line 221 of file rx_sync.vhd.

Member Data Documentation

align_reset_counter_r unsigned ( 4 downto 0 )
Signal

Definition at line 101 of file rx_sync.vhd.

align_reset_r std_logic
Signal

Definition at line 105 of file rx_sync.vhd.

begin_r std_logic
Signal

Definition at line 96 of file rx_sync.vhd.

count_32_setphase_complete_r std_logic
Signal

Definition at line 109 of file rx_sync.vhd.

count_32_wait_complete_r std_logic
Signal

Definition at line 110 of file rx_sync.vhd.

count_align_reset_complete_r std_logic
Signal

Definition at line 111 of file rx_sync.vhd.

DLY time := 1 ns
Constant

Definition at line 92 of file rx_sync.vhd.

max_fanout string
Attribute

Definition at line 119 of file rx_sync.vhd.

max_fanout ready_r : signal is " 2 "
Attribute

Definition at line 120 of file rx_sync.vhd.

next_align_reset_c std_logic
Signal

Definition at line 113 of file rx_sync.vhd.

next_phase_align_c std_logic
Signal

Definition at line 112 of file rx_sync.vhd.

next_ready_c std_logic
Signal

Definition at line 114 of file rx_sync.vhd.

next_wait_after_sync_c std_logic
Signal

Definition at line 115 of file rx_sync.vhd.

next_wait_before_setphase_c std_logic
Signal

Definition at line 116 of file rx_sync.vhd.

phase_align_r std_logic
Signal

Definition at line 97 of file rx_sync.vhd.

ready_r std_logic
Signal

Definition at line 98 of file rx_sync.vhd.

sync_32_times_done_r std_logic
Signal

Definition at line 117 of file rx_sync.vhd.

sync_counter_r unsigned ( 5 downto 0 )
Signal

Definition at line 99 of file rx_sync.vhd.

sync_done_count_r unsigned ( 5 downto 0 )
Signal

Definition at line 100 of file rx_sync.vhd.

wait_after_sync_r std_logic
Signal

Definition at line 102 of file rx_sync.vhd.

wait_before_setphase_counter_r unsigned ( 5 downto 0 )
Signal

Definition at line 103 of file rx_sync.vhd.

wait_before_setphase_r std_logic
Signal

Definition at line 104 of file rx_sync.vhd.


The documentation for this class was generated from the following file: