CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
rtl Architecture Reference

Processes

vme_r_proc  ( ren , data_to_vme )
read_write_detect_proc  ( clk )
PROCESS_107  ( clk )

Signals

ren  std_logic
ren_r  std_logic
ren_rr  std_logic
ren_rrr  std_logic
ren_rrrr  std_logic
ren_rrrrr  std_logic
ren_rrrrrr  std_logic
wen  std_logic
wen_r  std_logic
wen_rr  std_logic
wen_rrr  std_logic
wen_rrrr  std_logic
wen_rrrrr  std_logic
wen_rrrrrr  std_logic
ds_r  std_logic
ds_rr  std_logic
ds_rrr  std_logic
ds_rrrr  std_logic
ds_rrrrr  std_logic
ds_rrrrrr  std_logic
ds_rrrrrrr  std_logic
ds_rrrrrrrr  std_logic
data_vme_r  std_logic_vector ( 15 downto 0 )
data_vme_rr  std_logic_vector ( 15 downto 0 )
data_vme_rrr  std_logic_vector ( 15 downto 0 )
data_vme_rrrr  std_logic_vector ( 15 downto 0 )
read_detect_sig  std_logic
write_detect_sig  std_logic
read_detect_delay_line  std_logic_vector ( VME_read_det_delay - 1 downto 0 )

Detailed Description

Definition at line 46 of file vme_inreg_rtl.vhd.

Member Function Documentation

PROCESS_107 (   clk  
)
Process

Definition at line 179 of file vme_inreg_rtl.vhd.

read_write_detect_proc (   clk  
)
Process

Definition at line 122 of file vme_inreg_rtl.vhd.

vme_r_proc (   ren ,
  data_to_vme  
)
Process

Definition at line 106 of file vme_inreg_rtl.vhd.

Member Data Documentation

data_vme_r std_logic_vector ( 15 downto 0 )
Signal

Definition at line 68 of file vme_inreg_rtl.vhd.

data_vme_rr std_logic_vector ( 15 downto 0 )
Signal

Definition at line 68 of file vme_inreg_rtl.vhd.

data_vme_rrr std_logic_vector ( 15 downto 0 )
Signal

Definition at line 68 of file vme_inreg_rtl.vhd.

data_vme_rrrr std_logic_vector ( 15 downto 0 )
Signal

Definition at line 68 of file vme_inreg_rtl.vhd.

ds_r std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrrrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrrrrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrrrrrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

ds_rrrrrrrr std_logic
Signal

Definition at line 64 of file vme_inreg_rtl.vhd.

read_detect_delay_line std_logic_vector ( VME_read_det_delay - 1 downto 0 )
Signal

Definition at line 78 of file vme_inreg_rtl.vhd.

read_detect_sig std_logic
Signal

Definition at line 73 of file vme_inreg_rtl.vhd.

ren std_logic
Signal

Definition at line 52 of file vme_inreg_rtl.vhd.

ren_r std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

ren_rr std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

ren_rrr std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

ren_rrrr std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

ren_rrrrr std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

ren_rrrrrr std_logic
Signal

Definition at line 53 of file vme_inreg_rtl.vhd.

wen std_logic
Signal

Definition at line 58 of file vme_inreg_rtl.vhd.

wen_r std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

wen_rr std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

wen_rrr std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

wen_rrrr std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

wen_rrrrr std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

wen_rrrrrr std_logic
Signal

Definition at line 59 of file vme_inreg_rtl.vhd.

write_detect_sig std_logic
Signal

Definition at line 73 of file vme_inreg_rtl.vhd.


The documentation for this class was generated from the following file: