CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
vme_main_hub Entity Reference

Entities

rtl  architecture
 

Libraries

ieee 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 
work.CMXpackage.all 

Ports

data_vme   inout std_logic_vector ( 15 downto 0 )
 the tri-stated port
data_vme_from_below   in std_logic_vector ( 15 downto 0 )
 --! input: ORed
bus_drive_from_below   in std_logic
 --! a request to drive the outside
data_vme_going_below   out std_logic_vector ( 15 downto 0 )

Detailed Description

Definition at line 21 of file vme_main_hub.vhd.

Member Data Documentation

bus_drive_from_below in std_logic
Port

--! a request to drive the outside

values from all registers (only one ought to put out non-zero data)

Definition at line 32 of file vme_main_hub.vhd.

data_vme inout std_logic_vector ( 15 downto 0 )
Port

the tri-stated port

Definition at line 23 of file vme_main_hub.vhd.

data_vme_from_below in std_logic_vector ( 15 downto 0 )
Port

--! input: ORed

Definition at line 25 of file vme_main_hub.vhd.

data_vme_going_below out std_logic_vector ( 15 downto 0 )
Port

ports (instead of listening)

Definition at line 34 of file vme_main_hub.vhd.

ieee
Library

Definition at line 13 of file vme_main_hub.vhd.

Definition at line 15 of file vme_main_hub.vhd.

Definition at line 14 of file vme_main_hub.vhd.

Definition at line 18 of file vme_main_hub.vhd.


The documentation for this class was generated from the following file: