CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
vme_main_hub.vhd
Go to the documentation of this file.
1 
12 
13 LIBRARY ieee ;
14 USE ieee.std_logic_1164.all;
15 USE ieee.numeric_std.all;
16 
17 
18 use work.CMXpackage.all;
19 --use work.CMX_VME_defs.all;
20 
21 entity vme_main_hub is
22  port(
23  data_vme : inout std_logic_vector(15 downto 0);
24 
25  data_vme_from_below : in std_logic_vector (15 downto 0);
31 
32  bus_drive_from_below : in std_logic;
34  data_vme_going_below : out std_logic_vector(15 downto 0)
35 
36  );
37 
38 -- Declarations
39 
40 end vme_main_hub ;
41 
42 ARCHITECTURE rtl OF vme_main_hub IS
43 
44 
45 
46 BEGIN
47 
49  begin
50  if bus_drive_from_below='1' then
52  else
53  data_vme<="ZZZZZZZZZZZZZZZZ";
54  end if;
56  end process;
57 
58 END rtl;
59 
60 
61 
_library_ ieeeieee
inout data_vmestd_logic_vector (15 downto 0)
the tri-stated port
in bus_drive_from_belowstd_logic
--! a request to drive the outside
out data_vme_going_belowstd_logic_vector (15 downto 0)
in data_vme_from_belowstd_logic_vector (15 downto 0)
--! input: ORed