CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_Sum_Et Entity Reference
Inheritance diagram for CMX_Sum_Et:
vme_local_switch

Entities

CMX_Sum_Et  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_UNSIGNED.all 
ieee.std_logic_1164.all 
ieee.numeric_std.all 
work.CMX_VME_defs.all 
work.CMXpackage.all 
work.CMX_flavor_package.all 

Ports

CLK   in std_logic
ENERGY_REMOTE   in std_logic_vector ( 26 * 4 - 1 downto 0 )
CTP_CABLE_0   out std_logic_vector ( 23 downto 0 )
CTP_CABLE_1   out std_logic_vector ( 23 downto 0 )
MISS_E_THR   in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
MISS_E_RES_THR   in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
SUM_ET_THR   in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
SUM_ET_RES_THR   in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
XS_T2_A2   in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
T_MISS_E_MIN   in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
T_MISS_E_MAX   in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
T_SUM_E_MIN   in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
T_SUM_E_MAX   in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
XS_B2   in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
ov_all_out   out std_logic_vector ( 5 downto 0 )
sums_all_out   out arr_ctr_15bit ( 5 downto 0 )
BACKPLANE_DATA_IN   in energy_array
LOCAL_CABLE_OUT   out std_logic_vector ( 4 * 26 - 1 downto 0 )
BCID_in   in std_logic_vector ( 11 downto 0 )
BCID_delayed   out std_logic_vector ( 11 downto 0 )
counter_reset   in T_SL
counter_inhibit   in T_SL
par_err   in std_logic_vector ( 1 downto 0 )

Detailed Description

Definition at line 18 of file CMX_SumEt.vhd.

Member Data Documentation

BACKPLANE_DATA_IN in energy_array
Port

Definition at line 41 of file CMX_SumEt.vhd.

BCID_delayed out std_logic_vector ( 11 downto 0 )
Port

Definition at line 44 of file CMX_SumEt.vhd.

BCID_in in std_logic_vector ( 11 downto 0 )
Port

Definition at line 43 of file CMX_SumEt.vhd.

CLK in std_logic
Port

Definition at line 21 of file CMX_SumEt.vhd.

counter_inhibit in T_SL
Port

Definition at line 47 of file CMX_SumEt.vhd.

counter_reset in T_SL
Port

Definition at line 46 of file CMX_SumEt.vhd.

CTP_CABLE_0 out std_logic_vector ( 23 downto 0 )
Port

Definition at line 24 of file CMX_SumEt.vhd.

CTP_CABLE_1 out std_logic_vector ( 23 downto 0 )
Port

Definition at line 25 of file CMX_SumEt.vhd.

ENERGY_REMOTE in std_logic_vector ( 26 * 4 - 1 downto 0 )
Port

Definition at line 23 of file CMX_SumEt.vhd.

IEEE
Library

Definition at line 7 of file CMX_SumEt.vhd.

Definition at line 10 of file CMX_SumEt.vhd.

Definition at line 9 of file CMX_SumEt.vhd.

Definition at line 8 of file CMX_SumEt.vhd.

LOCAL_CABLE_OUT out std_logic_vector ( 4 * 26 - 1 downto 0 )
Port

Definition at line 42 of file CMX_SumEt.vhd.

MISS_E_RES_THR in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 28 of file CMX_SumEt.vhd.

MISS_E_THR in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 27 of file CMX_SumEt.vhd.

ov_all_out out std_logic_vector ( 5 downto 0 )
Port

Definition at line 38 of file CMX_SumEt.vhd.

par_err in std_logic_vector ( 1 downto 0 )
Port

Definition at line 49 of file CMX_SumEt.vhd.

SUM_ET_RES_THR in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 30 of file CMX_SumEt.vhd.

SUM_ET_THR in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 29 of file CMX_SumEt.vhd.

sums_all_out out arr_ctr_15bit ( 5 downto 0 )
Port

Definition at line 39 of file CMX_SumEt.vhd.

T_MISS_E_MAX in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 34 of file CMX_SumEt.vhd.

T_MISS_E_MIN in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 33 of file CMX_SumEt.vhd.

T_SUM_E_MAX in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 36 of file CMX_SumEt.vhd.

T_SUM_E_MIN in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 35 of file CMX_SumEt.vhd.

work
Library

Definition at line 11 of file CMX_SumEt.vhd.

Definition at line 14 of file CMX_SumEt.vhd.

Definition at line 12 of file CMX_SumEt.vhd.

Definition at line 13 of file CMX_SumEt.vhd.

XS_B2 in arr_ctr_15bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 37 of file CMX_SumEt.vhd.

XS_T2_A2 in arr_ctr_31bit ( num_thresholds - 1 downto 0 )
Port

Definition at line 31 of file CMX_SumEt.vhd.


The documentation for this class was generated from the following file: