CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_Sum_Et Architecture Reference

Processes

PROCESS_176  ( CLK )

Components

vme_local_switch  <Entity vme_local_switch>
parity_gen  <Entity parity_gen>
adder_counter  <Entity adder_counter>
vme_outreg_notri_async  <Entity vme_outreg_notri_async>

Signals

local_cable_to_system  std_logic_vector ( 4 * 26 - 1 downto 0 )
bus_drive_local  std_logic_vector ( 1 + ( 16 * 5 ) downto 0 )
data_vme_out_local  arr_16 ( 1 + ( 16 * 5 ) downto 0 )
ctp_cable_0_internal  std_logic_vector ( 23 downto 0 )
ctp_cable_1_internal  std_logic_vector ( 23 downto 0 )
cnt_sum_et  cnt_mult_arr ( 7 downto 0 )
cnt_missing_et  cnt_mult_arr ( 7 downto 0 )
cnt_missing_et_sign  cnt_mult_arr ( 7 downto 0 )
cnt_sum_et_weighted  cnt_mult_arr ( 7 downto 0 )
cnt_missing_et_res  cnt_mult_arr ( 7 downto 0 )
cnt_sum_et_2x16  cnt_mult_arr_2x16 ( 15 downto 0 )
cnt_missing_et_2x16  cnt_mult_arr_2x16 ( 15 downto 0 )
cnt_missing_et_sign_2x16  cnt_mult_arr_2x16 ( 15 downto 0 )
cnt_sum_et_weighted_2x16  cnt_mult_arr_2x16 ( 15 downto 0 )
cnt_missing_et_res_2x16  cnt_mult_arr_2x16 ( 15 downto 0 )
counter_inhibit_r_local  std_logic
counter_reset_r_local  std_logic

Instantiations

vme_local_switch_inst  vme_local_switch <Entity vme_local_switch>

Detailed Description

Definition at line 63 of file CMX_SumEt.vhd.

Member Function Documentation

PROCESS_176 (   CLK )

Definition at line 147 of file CMX_SumEt.vhd.

Member Data Documentation

adder_counter
Component

Definition at line 102 of file CMX_SumEt.vhd.

bus_drive_local std_logic_vector ( 1 + ( 16 * 5 ) downto 0 )
Signal

Definition at line 74 of file CMX_SumEt.vhd.

cnt_missing_et cnt_mult_arr ( 7 downto 0 )
Signal

Definition at line 80 of file CMX_SumEt.vhd.

cnt_missing_et_2x16 cnt_mult_arr_2x16 ( 15 downto 0 )
Signal

Definition at line 86 of file CMX_SumEt.vhd.

cnt_missing_et_res cnt_mult_arr ( 7 downto 0 )
Signal

Definition at line 83 of file CMX_SumEt.vhd.

cnt_missing_et_res_2x16 cnt_mult_arr_2x16 ( 15 downto 0 )
Signal

Definition at line 89 of file CMX_SumEt.vhd.

cnt_missing_et_sign cnt_mult_arr ( 7 downto 0 )
Signal

Definition at line 81 of file CMX_SumEt.vhd.

cnt_missing_et_sign_2x16 cnt_mult_arr_2x16 ( 15 downto 0 )
Signal

Definition at line 87 of file CMX_SumEt.vhd.

cnt_sum_et cnt_mult_arr ( 7 downto 0 )
Signal

Definition at line 79 of file CMX_SumEt.vhd.

cnt_sum_et_2x16 cnt_mult_arr_2x16 ( 15 downto 0 )
Signal

Definition at line 85 of file CMX_SumEt.vhd.

cnt_sum_et_weighted cnt_mult_arr ( 7 downto 0 )
Signal

Definition at line 82 of file CMX_SumEt.vhd.

cnt_sum_et_weighted_2x16 cnt_mult_arr_2x16 ( 15 downto 0 )
Signal

Definition at line 88 of file CMX_SumEt.vhd.

counter_inhibit_r_local std_logic
Signal

Definition at line 131 of file CMX_SumEt.vhd.

counter_reset_r_local std_logic
Signal

Definition at line 132 of file CMX_SumEt.vhd.

ctp_cable_0_internal std_logic_vector ( 23 downto 0 )
Signal

Definition at line 76 of file CMX_SumEt.vhd.

ctp_cable_1_internal std_logic_vector ( 23 downto 0 )
Signal

Definition at line 77 of file CMX_SumEt.vhd.

data_vme_out_local arr_16 ( 1 + ( 16 * 5 ) downto 0 )
Signal

Definition at line 75 of file CMX_SumEt.vhd.

local_cable_to_system std_logic_vector ( 4 * 26 - 1 downto 0 )
Signal

Definition at line 64 of file CMX_SumEt.vhd.

parity_gen
Component

Definition at line 92 of file CMX_SumEt.vhd.

vme_local_switch
Component

Definition at line 66 of file CMX_SumEt.vhd.

vme_local_switch_inst vme_local_switch
Instantiation

Definition at line 139 of file CMX_SumEt.vhd.

Definition at line 116 of file CMX_SumEt.vhd.


The documentation for this class was generated from the following file: