CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_SumET_Topo_Encoder Entity Reference

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 
work.CMX_flavor_package.all 
IEEE.NUMERIC_STD.all 

Ports

local_data   in std_logic_vector ( 4 * 26 - 1 downto 0 )
send_align_out   out std_logic_vector ( num_GTX_groups * num_GTX_per_group - 1 downto 0 )
Data_out   out std_logic_vector ( TX_indata_length - 1 downto 0 )
bcid_in   in std_logic_vector ( 11 downto 0 )
bcid_adj   out std_logic_vector ( 11 downto 0 )
clk   in std_logic

Detailed Description

Definition at line 25 of file CMX_SumET_Topo_Encoder.vhd.

Member Data Documentation

bcid_adj out std_logic_vector ( 11 downto 0 )
Port

Definition at line 35 of file CMX_SumET_Topo_Encoder.vhd.

bcid_in in std_logic_vector ( 11 downto 0 )
Port

Definition at line 34 of file CMX_SumET_Topo_Encoder.vhd.

clk in std_logic
Port

Definition at line 37 of file CMX_SumET_Topo_Encoder.vhd.

Data_out out std_logic_vector ( TX_indata_length - 1 downto 0 )
Port

Definition at line 33 of file CMX_SumET_Topo_Encoder.vhd.

IEEE
Library

Definition at line 8 of file CMX_SumET_Topo_Encoder.vhd.

Definition at line 18 of file CMX_SumET_Topo_Encoder.vhd.

Definition at line 9 of file CMX_SumET_Topo_Encoder.vhd.

local_data in std_logic_vector ( 4 * 26 - 1 downto 0 )
Port

Definition at line 28 of file CMX_SumET_Topo_Encoder.vhd.

send_align_out out std_logic_vector ( num_GTX_groups * num_GTX_per_group - 1 downto 0 )
Port

Definition at line 31 of file CMX_SumET_Topo_Encoder.vhd.

work
Library

Definition at line 11 of file CMX_SumET_Topo_Encoder.vhd.

Definition at line 13 of file CMX_SumET_Topo_Encoder.vhd.

Definition at line 12 of file CMX_SumET_Topo_Encoder.vhd.


The documentation for this class was generated from the following file: