CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Processes

PROCESS_177  ( clk )

Signals

sumET_res  std_logic_vector ( 14 downto 0 )
sumET_res_ov  std_logic
sumX_res  std_logic_vector ( 14 downto 0 )
sumX_res_ov  std_logic
sumY_res  std_logic_vector ( 14 downto 0 )
sumY_res_ov  std_logic
sumET  std_logic_vector ( 14 downto 0 )
sumET_ov  std_logic
sumX  std_logic_vector ( 14 downto 0 )
sumX_ov  std_logic
sumY  std_logic_vector ( 14 downto 0 )
sumY_ov  std_logic
non_empty_row  std_logic_vector ( 127 downto 0 )
descrambled_local_data  std_logic_vector ( 95 downto 0 )

Detailed Description

Definition at line 40 of file CMX_SumET_Topo_Encoder.vhd.

Member Function Documentation

PROCESS_177 (   clk  
)
Process

Definition at line 63 of file CMX_SumET_Topo_Encoder.vhd.

Member Data Documentation

descrambled_local_data std_logic_vector ( 95 downto 0 )
Signal

Definition at line 58 of file CMX_SumET_Topo_Encoder.vhd.

non_empty_row std_logic_vector ( 127 downto 0 )
Signal

Definition at line 56 of file CMX_SumET_Topo_Encoder.vhd.

sumET std_logic_vector ( 14 downto 0 )
Signal

Definition at line 49 of file CMX_SumET_Topo_Encoder.vhd.

sumET_ov std_logic
Signal

Definition at line 50 of file CMX_SumET_Topo_Encoder.vhd.

sumET_res std_logic_vector ( 14 downto 0 )
Signal

Definition at line 42 of file CMX_SumET_Topo_Encoder.vhd.

sumET_res_ov std_logic
Signal

Definition at line 43 of file CMX_SumET_Topo_Encoder.vhd.

sumX std_logic_vector ( 14 downto 0 )
Signal

Definition at line 51 of file CMX_SumET_Topo_Encoder.vhd.

sumX_ov std_logic
Signal

Definition at line 52 of file CMX_SumET_Topo_Encoder.vhd.

sumX_res std_logic_vector ( 14 downto 0 )
Signal

Definition at line 44 of file CMX_SumET_Topo_Encoder.vhd.

sumX_res_ov std_logic
Signal

Definition at line 45 of file CMX_SumET_Topo_Encoder.vhd.

sumY std_logic_vector ( 14 downto 0 )
Signal

Definition at line 53 of file CMX_SumET_Topo_Encoder.vhd.

sumY_ov std_logic
Signal

Definition at line 54 of file CMX_SumET_Topo_Encoder.vhd.

sumY_res std_logic_vector ( 14 downto 0 )
Signal

Definition at line 46 of file CMX_SumET_Topo_Encoder.vhd.

sumY_res_ov std_logic
Signal

Definition at line 47 of file CMX_SumET_Topo_Encoder.vhd.


The documentation for this class was generated from the following file: