CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_flavor_package Package Reference
Package Body >> CMX_flavor_package

Functions

unsigned   quad_rest (
arg: in unsigned
quadrant: in std_logic
restricted_SUMET: in std_logic
restricted_MISSET: in std_logic
iterator: in integer
)
std_logic   xor_reduce ( arg: in std_logic_vector )
std_logic_vector   raw_encoder ( arg: in std_logic_vector )
std_logic_vector   crate_cable_in ( arg: in std_logic_vector )
std_logic_vector   crate_cable_out ( arg: in std_logic_vector )

Procedures

  Conv3to2( signal a: in std_logic_vector ( 2 downto 0 ) ,signal b: out std_logic_vector ( 1 downto 0 ) )
  Conv3to2( signal a: in std_logic_vector ( 2 downto 0 ) ,signal b: out std_logic_vector ( 1 downto 0 ) )

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
work.CMXpackage.all 
work.CMX_local_package.all 

Constants

version_flavor_common  std_logic_vector ( 15 downto 0 ) := x " F0A2 "
max_tobs_tot  integer := 64
max_tobs_topo  integer := 32
max_jems  integer := 16
max_tobs_pjem  integer := 4
et2_width  integer := 10
et1_width  integer := 9
pos_width  integer := 9
thresholds_num  integer := 25
thresholds_width  integer := 10
max_threshold  std_logic_vector ( ( thresholds_width - 1 ) downto 0 ) := ( others = > ' 1 ' )
max_eta_slices  integer := 4
num_presence_bits_pjem  integer := 8
num_copies  integer := 3
num_sort_layers  integer := 21
arr_addr_Et1_MSword_loc_Hi  t_arr_addr_Et1 := ( 82 , 73 , 51 , 29 )
arr_addr_Et1_MSword_loc_Lo  t_arr_addr_Et1 := ( 78 , 72 , 48 , 24 )
arr_addr_Et1_MSword_size  t_arr_addr_Et1 := ( 5 , 2 , 4 , 6 )
arr_addr_Et1_LSword_loc_Hi  t_arr_addr_Et1 := ( 77 , 58 , 34 , 10 )
arr_addr_Et1_LSword_loc_Lo  t_arr_addr_Et1 := ( 74 , 52 , 30 , 8 )
arr_addr_Et1_LSword_size  t_arr_addr_Et1 := ( 4 , 7 , 5 , 3 )
zero  std_logic := ' 0 '
frame_16  std_logic_vector ( 15 downto 0 ) := ( others = > ' 0 ' )
frame_17  std_logic_vector ( 16 downto 0 ) := ( others = > ' 0 ' )
frame_18  std_logic_vector ( 17 downto 0 ) := ( others = > ' 0 ' )
TO_Jet_Et1_size  integer := 9
TO_Jet_Et2_size  integer := 10
TO_Jet_pos_size  integer := 9
TO_Jet_size  integer := TO_Jet_Et1_size + TO_Jet_Et2_size + TO_Jet_pos_size
Num_TO_Jet  integer := 32
mult_i  adder_type := ( " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 011 " , " 010 " , " 010 " , " 001 " , " 010 " , " 001 " , " 001 " , " 000 " )
slot11reduced  boolean := false
xpos_sort  xpos_sort_type := ( " 0000 " , " 1000 " , " 1000 " , " 1100 " , " 1000 " , " 1100 " , " 1100 " , " 1110 " , " 1000 " , " 1100 " , " 1100 " , " 1110 " , " 1100 " , " 1110 " , " 1110 " , " 1111 " )
ofwntobs  wntobs_type := ( " 0000 " , " 0001 " , " 0001 " , " 0010 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " )
version_flavor_common  std_logic_vector ( 15 downto 0 ) := x " F0A3 "
max_tobs_tot  integer := 70
max_tobs_topo  integer := 30
max_cps  integer := 14
max_tobs_pcp  integer := 5
thresholds_num  integer := 16
num_presence_bits_pcp  integer := 16
num_copies  integer := 4
num_sort_layers  integer := 28
arr_addr_CLE_Lo  t_arr_addr := ( 72 , 56 , 48 , 32 , 24 )
arr_addr_ISO_Lo  t_arr_addr := ( 90 , 82 , 66 , 42 , 18 )
arr_addr_POS_Lo  t_arr_addr := ( 88 , 80 , 64 , 40 , 16 )
TO_EmTau_CLE_size  integer := 8
TO_EmTau_ISO_size  integer := 5
TO_EmTau_POS_size  integer := 10
TO_EmTau_size  integer := TO_EmTau_CLE_size + TO_EmTau_ISO_size + TO_EmTau_POS_size
frame_8  std_logic_vector ( 7 downto 0 ) := ( others = > ' 0 ' )
frame_7  std_logic_vector ( 6 downto 0 ) := ( others = > ' 0 ' )
frame_6  std_logic_vector ( 5 downto 0 ) := ( others = > ' 0 ' )
mult_i  adder_type := ( " 101 " , " 100 " , " 100 " , " 011 " , " 100 " , " 011 " , " 011 " , " 010 " , " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 011 " , " 010 " , " 010 " , " 001 " , " 010 " , " 001 " , " 001 " , " 000 " )
Rxpos_sort  Rxpos_sort_type := ( " 00000000 " , " 00100000 " , " 00100001 " , " 01000010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01100000 " , " 10000000 " , " 10000001 " , " 10100010 " , " 01100001 " , " 10000010 " , " 10000011 " , " 10100110 " , " 10000010 " , " 10100100 " , " 10100101 " , " 11001010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 01100011 " , " 10000110 " , " 10000111 " , " 10101110 " , " 10000110 " , " 10101100 " , " 10101101 " , " 11011010 " , " 01100010 " , " 10001000 " , " 10000101 " , " 10101010 " , " 10000100 " , " 10101000 " , " 10101001 " , " 11010010 " , " 10000101 " , " 10101010 " , " 10101011 " , " 11010110 " , " 10101010 " , " 11010100 " , " 11010101 " , " 10101010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01100111 " , " 10001110 " , " 10001111 " , " 10111110 " , " 10001110 " , " 10111100 " , " 10111101 " , " 11011010 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 10001100 " , " 10111000 " , " 10111001 " , " 11010010 " , " 10001101 " , " 10111010 " , " 10111011 " , " 11010110 " , " 10111010 " , " 11010100 " , " 11010101 " , " 11101010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 10001000 " , " 10110000 " , " 10110001 " , " 11000010 " , " 10001001 " , " 10110010 " , " 10110011 " , " 11000110 " , " 10110010 " , " 11000100 " , " 11000101 " , " 11101010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 10101010 " , " 10001011 " , " 10110110 " , " 10110111 " , " 10101110 " , " 10110110 " , " 10101100 " , " 10101101 " , " 10111010 " , " 10001010 " , " 10110100 " , " 10110101 " , " 10101010 " , " 10110100 " , " 10101000 " , " 10101001 " , " 10110010 " , " 10110101 " , " 10101010 " , " 10101011 " , " 10110110 " , " 10101010 " , " 10110100 " , " 10110101 " , " 10101010 " )
version_flavor_common  std_logic_vector ( 15 downto 0 ) := x " F0A5 "
num_thresholds  integer := 8
max_bits_ExEy  integer := 15
max_bits_TE  integer := 15
max_bits_XE2  integer := max_bits_ExEy * 2 + 1
BACKPLANE_MAP  std_logic_vector ( 15 downto 0 ) := x " 00FF "

Types

arr_s0word array ( 3 downto 0 ) of std_logic_vector ( 12 downto 0 )
arr_s1word array ( 3 downto 0 ) of std_logic_vector ( 10 downto 0 )
Low_word array ( integer range<> ) of arr_s0word
High_word array ( integer range<> ) of arr_s1word
num_tobs array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
ntobs_type array ( 15 downto 0 ) of std_logic_vector ( 2 downto 0 )
ptr_sort array ( 15 downto 0 ) of std_logic_vector ( 6 downto 0 )
ptr_presort array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
ptr_jem array ( 16 downto 0 ) of std_logic_vector ( 6 downto 0 )
pos_type array ( 15 downto 0 ) of std_logic_vector ( 11 downto 0 )
thPatG_type array ( integer range<> ) of std_logic_vector ( 120 downto 0 )
record: JetTOB Et1 : std_logic_vector% ( 8%%%downto%%%0 )
%%Et2 : std_logic_vector% ( 9%%%downto%%%0 )
%pos : std_logic_vector% ( 8%%%downto%%%0 )
arr_TOB array ( integer range<> ) of JetTOB
copy_arr_TOB array ( num_copies - 1 downto 0 ) of arr_TOB ( max_tobs_topo - 1 downto 0 )
type_TOB_sort_layers array ( num_sort_layers - 1 downto 0 ) of arr_TOB ( max_tobs_tot - 1 downto 0 )
t_arr_addr_Et1 array ( 3 downto 0 ) of integer range 0 to 82
fpos_type array ( integer range<> ) of std_logic_vector ( 19 downto 0 )
thPatL_type array ( integer range<> ) of std_logic_vector ( 99 downto 0 )
par_type array ( integer range<> ) of std_logic
presortEt2_type array ( integer range<> ) of std_logic_vector ( 39 downto 0 )
presortEt1_type array ( integer range<> ) of std_logic_vector ( 35 downto 0 )
presortpos_type array ( integer range<> ) of std_logic_vector ( 35 downto 0 )
nx3_array array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
nx4_array array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
nx8_array array ( integer range<> ) of std_logic_vector ( 7 downto 0 )
nx13_array array ( integer range<> ) of std_logic_vector ( 12 downto 0 )
nx24_array array ( integer range<> ) of std_logic_vector ( 23 downto 0 )
nx25_array array ( integer range<> ) of std_logic_vector ( 24 downto 0 )
nx26_array array ( integer range<> ) of std_logic_vector ( 25 downto 0 )
nx32_array array ( integer range<> ) of std_logic_vector ( 31 downto 0 )
nx35_array array ( integer range<> ) of std_logic_vector ( 34 downto 0 )
nx60_array array ( integer range<> ) of std_logic_vector ( 59 downto 0 )
nx100_array array ( integer range<> ) of std_logic_vector ( 99 downto 0 )
nx121_array array ( integer range<> ) of std_logic_vector ( 120 downto 0 )
nxmx4_array array ( integer range<> , integer range<> ) of std_logic_vector ( 3 downto 0 )
int_array array ( integer range<> ) of integer
threshold_slice array ( integer range<> ) of std_logic_vector ( 10 downto 0 )
threshold_jem array ( integer range<> ) of threshold_slice ( max_eta_slices - 1 downto 0 )
threshold_type array ( integer range<> ) of threshold_jem ( thresholds_num - 1 downto 0 )
mult_type_a array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
mult_type array ( integer range<> ) of mult_type_a ( 24 downto 0 )
xsum_type_a array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
xsum_type array ( integer range<> ) of xsum_type_a ( 7 downto 0 )
totsum_type array ( integer range<> ) of integer
adder_type array ( 15 downto 0 ) of std_logic_vector ( 2 downto 0 )
arr_TO_Jet array ( Num_TO_Jet - 1 downto 0 ) of STD_LOGIC_VECTOR ( TO_Jet_size - 1 downto 0 )
del_register_rtm_arr_type array ( num_RTM_cables - 1 downto 0 ) of del_register_rtm_type
loc_type_a array ( integer range<> ) of std_logic_vector ( 1 downto 0 )
loc_type array ( integer range<> ) of loc_type_a ( max_tobs_pjem - 1 downto 0 )
xpos_sort_type array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
calc_parity_typa_a array ( integer range<> ) of T_SL
calc_parity_type array ( integer range<> ) of calc_parity_typa_a ( 3 downto 0 )
xpos_type array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
ofwntobs_type array ( 0 to 255 ) of std_logic_vector ( 3 downto 0 )
cnt_mult_arr array ( integer range<> ) of std_logic_vector ( 31 downto 0 )
cnt_mult_arr_2x16 array ( integer range<> ) of std_logic_vector ( 15 downto 0 )
arr_s0word array ( 3 downto 0 ) of std_logic_vector ( 15 downto 0 )
arr_s1word array ( 3 downto 0 ) of std_logic_vector ( 7 downto 0 )
num_tobs_half array ( max_cps - 1 downto 0 ) of std_logic_vector ( 3 downto 0 )
num_tobs array ( max_cps - 1 downto 0 ) of unsigned ( 4 downto 0 )
pos_type_ext array ( max_cps - 1 downto 0 ) of std_logic_vector ( 23 downto 0 )
pos_type array ( max_cps - 1 downto 0 ) of std_logic_vector ( 19 downto 0 )
record: EmTauTOB CLE : std_logic_vector% ( 7%%%downto%%%0 )
%%ISO : std_logic_vector% ( 4%%%downto%%%0 )
%POS : std_logic_vector% ( 9%%%downto%%%0 )
arr_TOB array ( integer range<> ) of EmTauTOB
t_arr_addr array ( 4 downto 0 ) of integer range 0 to 90
thPatL_type array ( integer range<> ) of std_logic_vector ( 79 downto 0 )
threshold_slice array ( integer range<> ) of T_SLV13
threshold_cp array ( integer range<> ) of threshold_slice ( max_eta_slices - 1 downto 0 )
threshold_type array ( integer range<> ) of threshold_cp ( thresholds_num - 1 downto 0 )
mult_type array ( integer range<> ) of mult_type_a ( 15 downto 0 )
xsum_type array ( integer range<> ) of xsum_type_a ( 6 downto 0 )
adder_type array ( 31 downto 0 ) of std_logic_vector ( 2 downto 0 )
loc_type array ( integer range<> ) of loc_type_a ( max_tobs_pcp - 1 downto 0 )
Rxpos_type array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
ntobsA_type array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
ntobstot_type array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
Rxpos_sort_type array ( 0 to 255 ) of std_logic_vector ( 7 downto 0 )
energy_array array ( 0 to 15 ) of std_logic_vector ( 41 downto 0 )
thr_array array ( 0 to 15 ) of std_logic_vector ( 31 downto 0 )
xs_thr_param_array array ( 0 to 7 ) of std_logic_vector ( 31 downto 0 )
calc_parity_typa_a array ( integer range<> ) of std_logic

Subtypes

T_SL  std_logic
T_SLV2  std_logic_vector ( 1 downto 0 )
T_SLV3  std_logic_vector ( 2 downto 0 )
T_SLV4  std_logic_vector ( 3 downto 0 )
T_SLV12  std_logic_vector ( 11 downto 0 )
T_SLV13  std_logic_vector ( 12 downto 0 )
T_SLV24  std_logic_vector ( 23 downto 0 )
T_SLV25  std_logic_vector ( 24 downto 0 )
T_SLV30  std_logic_vector ( 29 downto 0 )
T_SLV32  std_logic_vector ( 31 downto 0 )
T_SLV16  std_logic_vector ( 15 downto 0 )
T_SLV60  std_logic_vector ( 59 downto 0 )
T_SLV61  std_logic_vector ( 60 downto 0 )
T_SLV62  std_logic_vector ( 61 downto 0 )
T_SLV65  std_logic_vector ( 64 downto 0 )
T_SLV75  std_logic_vector ( 74 downto 0 )
T_SLV120  std_logic_vector ( 119 downto 0 )
T_SLV121  std_logic_vector ( 120 downto 0 )
T_SLV1936  std_logic_vector ( 1935 downto 0 )
T_SLV14  std_logic_vector ( 13 downto 0 )
T_SLV42  std_logic_vector ( 41 downto 0 )
T_SLV48  std_logic_vector ( 47 downto 0 )
T_SLV49  std_logic_vector ( 48 downto 0 )
T_SLV50  std_logic_vector ( 49 downto 0 )
T_SLV150  std_logic_vector ( 149 downto 0 )
sum_array  arr_ctr_15bit ( 9 downto 0 )

Detailed Description

Definition at line 19 of file CMX_flavor_package.vhd.

Member Function Documentation

Conv3to2 ( signal   a in std_logic_vector ( 2 downto 0 ) ,
signal   b out std_logic_vector ( 1 downto 0 )  
)
Procedure

Definition at line 445 of file CMX_flavor_package.vhd.

Conv3to2 ( signal   a in std_logic_vector ( 2 downto 0 ) ,
signal   b out std_logic_vector ( 1 downto 0 )  
)
Procedure

Definition at line 451 of file CMX_flavor_package.vhd.

std_logic_vector crate_cable_in (   arg in std_logic_vector  
)
Function

Definition at line 67 of file CMX_flavor_package.vhd.

std_logic_vector crate_cable_out (   arg in std_logic_vector  
)
Function

Definition at line 68 of file CMX_flavor_package.vhd.

unsigned quad_rest (   arg in unsigned ,
  quadrant in std_logic ,
  restricted_SUMET in std_logic ,
  restricted_MISSET in std_logic ,
  iterator in integer  
)
Function

Definition at line 64 of file CMX_flavor_package.vhd.

std_logic_vector raw_encoder (   arg in std_logic_vector  
)
Function

Definition at line 66 of file CMX_flavor_package.vhd.

std_logic xor_reduce (   arg in std_logic_vector  
)
Function

Definition at line 65 of file CMX_flavor_package.vhd.

Member Data Documentation

adder_type array ( 15 downto 0 ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 158 of file CMX_flavor_package.vhd.

adder_type array ( 31 downto 0 ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 168 of file CMX_flavor_package.vhd.

arr_addr_CLE_Lo t_arr_addr := ( 72 , 56 , 48 , 32 , 24 )
Constant

Definition at line 80 of file CMX_flavor_package.vhd.

arr_addr_Et1_LSword_loc_Hi t_arr_addr_Et1 := ( 77 , 58 , 34 , 10 )
Constant

Definition at line 77 of file CMX_flavor_package.vhd.

arr_addr_Et1_LSword_loc_Lo t_arr_addr_Et1 := ( 74 , 52 , 30 , 8 )
Constant

Definition at line 78 of file CMX_flavor_package.vhd.

arr_addr_Et1_LSword_size t_arr_addr_Et1 := ( 4 , 7 , 5 , 3 )
Constant

Definition at line 79 of file CMX_flavor_package.vhd.

arr_addr_Et1_MSword_loc_Hi t_arr_addr_Et1 := ( 82 , 73 , 51 , 29 )
Constant

Definition at line 74 of file CMX_flavor_package.vhd.

arr_addr_Et1_MSword_loc_Lo t_arr_addr_Et1 := ( 78 , 72 , 48 , 24 )
Constant

Definition at line 75 of file CMX_flavor_package.vhd.

arr_addr_Et1_MSword_size t_arr_addr_Et1 := ( 5 , 2 , 4 , 6 )
Constant

Definition at line 76 of file CMX_flavor_package.vhd.

arr_addr_ISO_Lo t_arr_addr := ( 90 , 82 , 66 , 42 , 18 )
Constant

Definition at line 81 of file CMX_flavor_package.vhd.

arr_addr_POS_Lo t_arr_addr := ( 88 , 80 , 64 , 40 , 16 )
Constant

Definition at line 82 of file CMX_flavor_package.vhd.

arr_s0word array ( 3 downto 0 ) of std_logic_vector ( 15 downto 0 )
Type

Definition at line 27 of file CMX_flavor_package.vhd.

arr_s0word array ( 3 downto 0 ) of std_logic_vector ( 12 downto 0 )
Type

Definition at line 42 of file CMX_flavor_package.vhd.

arr_s1word array ( 3 downto 0 ) of std_logic_vector ( 7 downto 0 )
Type

Definition at line 28 of file CMX_flavor_package.vhd.

arr_s1word array ( 3 downto 0 ) of std_logic_vector ( 10 downto 0 )
Type

Definition at line 43 of file CMX_flavor_package.vhd.

arr_TO_Jet array ( Num_TO_Jet - 1 downto 0 ) of STD_LOGIC_VECTOR ( TO_Jet_size - 1 downto 0 )
Type

Definition at line 164 of file CMX_flavor_package.vhd.

arr_TOB array ( integer range<> ) of JetTOB
Type

Definition at line 61 of file CMX_flavor_package.vhd.

arr_TOB array ( integer range<> ) of EmTauTOB
Type

Definition at line 68 of file CMX_flavor_package.vhd.

BACKPLANE_MAP std_logic_vector ( 15 downto 0 ) := x " 00FF "
Constant

Definition at line 62 of file CMX_flavor_package.vhd.

calc_parity_typa_a array ( integer range<> ) of std_logic
Type

Definition at line 54 of file CMX_flavor_package.vhd.

calc_parity_typa_a array ( integer range<> ) of T_SL
Type

Definition at line 183 of file CMX_flavor_package.vhd.

calc_parity_type array ( integer range<> ) of calc_parity_typa_a ( 3 downto 0 )
Type

Definition at line 184 of file CMX_flavor_package.vhd.

cnt_mult_arr array ( integer range<> ) of std_logic_vector ( 31 downto 0 )
Type

Definition at line 447 of file CMX_flavor_package.vhd.

cnt_mult_arr_2x16 array ( integer range<> ) of std_logic_vector ( 15 downto 0 )
Type

Definition at line 448 of file CMX_flavor_package.vhd.

copy_arr_TOB array ( num_copies - 1 downto 0 ) of arr_TOB ( max_tobs_topo - 1 downto 0 )
Type

Definition at line 66 of file CMX_flavor_package.vhd.

del_register_rtm_arr_type array ( num_RTM_cables - 1 downto 0 ) of del_register_rtm_type
Type

Definition at line 169 of file CMX_flavor_package.vhd.

EmTauTOB (   CLE : std_logic_vector% ( 7%%%downto%%%0 )
  %%ISO : std_logic_vector% ( 4%%%downto%%%0 )
  %POS : std_logic_vector% ( 9%%%downto%%%0 )  
)
Type

Definition at line 62 of file CMX_flavor_package.vhd.

energy_array array ( 0 to 15 ) of std_logic_vector ( 41 downto 0 )
Type

Definition at line 50 of file CMX_flavor_package.vhd.

et1_width integer := 9
Constant

Definition at line 30 of file CMX_flavor_package.vhd.

et2_width integer := 10
Constant

Definition at line 29 of file CMX_flavor_package.vhd.

fpos_type array ( integer range<> ) of std_logic_vector ( 19 downto 0 )
Type

Definition at line 83 of file CMX_flavor_package.vhd.

frame_16 std_logic_vector ( 15 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 93 of file CMX_flavor_package.vhd.

frame_17 std_logic_vector ( 16 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 94 of file CMX_flavor_package.vhd.

frame_18 std_logic_vector ( 17 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 95 of file CMX_flavor_package.vhd.

frame_6 std_logic_vector ( 5 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 109 of file CMX_flavor_package.vhd.

frame_7 std_logic_vector ( 6 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 108 of file CMX_flavor_package.vhd.

frame_8 std_logic_vector ( 7 downto 0 ) := ( others = > ' 0 ' )
Constant

Definition at line 107 of file CMX_flavor_package.vhd.

High_word array ( integer range<> ) of arr_s1word
Type

Definition at line 46 of file CMX_flavor_package.vhd.

IEEE
Library

Definition at line 10 of file CMX_flavor_package.vhd.

Definition at line 12 of file CMX_flavor_package.vhd.

Definition at line 11 of file CMX_flavor_package.vhd.

int_array array ( integer range<> ) of integer
Type

Definition at line 134 of file CMX_flavor_package.vhd.

JetTOB (   Et1 : std_logic_vector% ( 8%%%downto%%%0 )
  %%Et2 : std_logic_vector% ( 9%%%downto%%%0 )
  %pos : std_logic_vector% ( 8%%%downto%%%0 )  
)
Type

Definition at line 55 of file CMX_flavor_package.vhd.

loc_type array ( integer range<> ) of loc_type_a ( max_tobs_pjem - 1 downto 0 )
Type

Definition at line 173 of file CMX_flavor_package.vhd.

loc_type array ( integer range<> ) of loc_type_a ( max_tobs_pcp - 1 downto 0 )
Type

Definition at line 174 of file CMX_flavor_package.vhd.

loc_type_a array ( integer range<> ) of std_logic_vector ( 1 downto 0 )
Type

Definition at line 172 of file CMX_flavor_package.vhd.

Low_word array ( integer range<> ) of arr_s0word
Type

Definition at line 45 of file CMX_flavor_package.vhd.

max_bits_ExEy integer := 15
Constant

Definition at line 22 of file CMX_flavor_package.vhd.

max_bits_TE integer := 15
Constant

Definition at line 23 of file CMX_flavor_package.vhd.

max_bits_XE2 integer := max_bits_ExEy * 2 + 1
Constant

Definition at line 25 of file CMX_flavor_package.vhd.

max_cps integer := 14
Constant

Definition at line 33 of file CMX_flavor_package.vhd.

max_eta_slices integer := 4
Constant

Definition at line 35 of file CMX_flavor_package.vhd.

max_jems integer := 16
Constant

Definition at line 27 of file CMX_flavor_package.vhd.

max_threshold std_logic_vector ( ( thresholds_width - 1 ) downto 0 ) := ( others = > ' 1 ' )
Constant

Definition at line 34 of file CMX_flavor_package.vhd.

max_tobs_pcp integer := 5
Constant

Definition at line 34 of file CMX_flavor_package.vhd.

max_tobs_pjem integer := 4
Constant

Definition at line 28 of file CMX_flavor_package.vhd.

max_tobs_topo integer := 32
Constant

Definition at line 26 of file CMX_flavor_package.vhd.

max_tobs_topo integer := 30
Constant

Definition at line 32 of file CMX_flavor_package.vhd.

max_tobs_tot integer := 64
Constant

Definition at line 25 of file CMX_flavor_package.vhd.

max_tobs_tot integer := 70
Constant

Definition at line 31 of file CMX_flavor_package.vhd.

mult_i adder_type := ( " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 011 " , " 010 " , " 010 " , " 001 " , " 010 " , " 001 " , " 001 " , " 000 " )
Constant

Definition at line 159 of file CMX_flavor_package.vhd.

mult_i adder_type := ( " 101 " , " 100 " , " 100 " , " 011 " , " 100 " , " 011 " , " 011 " , " 010 " , " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 100 " , " 011 " , " 011 " , " 010 " , " 011 " , " 010 " , " 010 " , " 001 " , " 011 " , " 010 " , " 010 " , " 001 " , " 010 " , " 001 " , " 001 " , " 000 " )
Constant

Definition at line 169 of file CMX_flavor_package.vhd.

mult_type array ( integer range<> ) of mult_type_a ( 24 downto 0 )
Type

Definition at line 141 of file CMX_flavor_package.vhd.

mult_type array ( integer range<> ) of mult_type_a ( 15 downto 0 )
Type

Definition at line 160 of file CMX_flavor_package.vhd.

mult_type_a array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 140 of file CMX_flavor_package.vhd.

ntobs_type array ( 15 downto 0 ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 48 of file CMX_flavor_package.vhd.

ntobsA_type array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 176 of file CMX_flavor_package.vhd.

ntobstot_type array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 177 of file CMX_flavor_package.vhd.

num_copies integer := 3
Constant

Definition at line 64 of file CMX_flavor_package.vhd.

num_copies integer := 4
Constant

Definition at line 70 of file CMX_flavor_package.vhd.

num_presence_bits_pcp integer := 16
Constant

Definition at line 39 of file CMX_flavor_package.vhd.

num_presence_bits_pjem integer := 8
Constant

Definition at line 37 of file CMX_flavor_package.vhd.

num_sort_layers integer := 21
Constant

Definition at line 68 of file CMX_flavor_package.vhd.

num_sort_layers integer := 28
Constant

Definition at line 75 of file CMX_flavor_package.vhd.

num_thresholds integer := 8
Constant

Definition at line 20 of file CMX_flavor_package.vhd.

Num_TO_Jet integer := 32
Constant

Definition at line 155 of file CMX_flavor_package.vhd.

num_tobs array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 47 of file CMX_flavor_package.vhd.

num_tobs array ( max_cps - 1 downto 0 ) of unsigned ( 4 downto 0 )
Type

Definition at line 48 of file CMX_flavor_package.vhd.

num_tobs_half array ( max_cps - 1 downto 0 ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 46 of file CMX_flavor_package.vhd.

nx100_array array ( integer range<> ) of std_logic_vector ( 99 downto 0 )
Type

Definition at line 129 of file CMX_flavor_package.vhd.

nx121_array array ( integer range<> ) of std_logic_vector ( 120 downto 0 )
Type

Definition at line 130 of file CMX_flavor_package.vhd.

nx13_array array ( integer range<> ) of std_logic_vector ( 12 downto 0 )
Type

Definition at line 122 of file CMX_flavor_package.vhd.

nx24_array array ( integer range<> ) of std_logic_vector ( 23 downto 0 )
Type

Definition at line 123 of file CMX_flavor_package.vhd.

nx25_array array ( integer range<> ) of std_logic_vector ( 24 downto 0 )
Type

Definition at line 124 of file CMX_flavor_package.vhd.

nx26_array array ( integer range<> ) of std_logic_vector ( 25 downto 0 )
Type

Definition at line 125 of file CMX_flavor_package.vhd.

nx32_array array ( integer range<> ) of std_logic_vector ( 31 downto 0 )
Type

Definition at line 126 of file CMX_flavor_package.vhd.

nx35_array array ( integer range<> ) of std_logic_vector ( 34 downto 0 )
Type

Definition at line 127 of file CMX_flavor_package.vhd.

nx3_array array ( integer range<> ) of std_logic_vector ( 2 downto 0 )
Type

Definition at line 119 of file CMX_flavor_package.vhd.

nx4_array array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 120 of file CMX_flavor_package.vhd.

nx60_array array ( integer range<> ) of std_logic_vector ( 59 downto 0 )
Type

Definition at line 128 of file CMX_flavor_package.vhd.

nx8_array array ( integer range<> ) of std_logic_vector ( 7 downto 0 )
Type

Definition at line 121 of file CMX_flavor_package.vhd.

nxmx4_array array ( integer range<> , integer range<> ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 132 of file CMX_flavor_package.vhd.

ofwntobs wntobs_type := ( " 0000 " , " 0001 " , " 0001 " , " 0010 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0001 " , " 0010 " , " 0010 " , " 0011 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0010 " , " 0011 " , " 0011 " , " 0100 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0011 " , " 0100 " , " 0100 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 0100 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " , " 1111 " )
Constant

Definition at line 189 of file CMX_flavor_package.vhd.

ofwntobs_type array ( 0 to 255 ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 188 of file CMX_flavor_package.vhd.

par_type array ( integer range<> ) of std_logic
Type

Definition at line 86 of file CMX_flavor_package.vhd.

pos_type array ( 15 downto 0 ) of std_logic_vector ( 11 downto 0 )
Type

Definition at line 52 of file CMX_flavor_package.vhd.

pos_type array ( max_cps - 1 downto 0 ) of std_logic_vector ( 19 downto 0 )
Type

Definition at line 56 of file CMX_flavor_package.vhd.

pos_type_ext array ( max_cps - 1 downto 0 ) of std_logic_vector ( 23 downto 0 )
Type

Definition at line 55 of file CMX_flavor_package.vhd.

pos_width integer := 9
Constant

Definition at line 31 of file CMX_flavor_package.vhd.

presortEt1_type array ( integer range<> ) of std_logic_vector ( 35 downto 0 )
Type

Definition at line 89 of file CMX_flavor_package.vhd.

presortEt2_type array ( integer range<> ) of std_logic_vector ( 39 downto 0 )
Type

Definition at line 88 of file CMX_flavor_package.vhd.

presortpos_type array ( integer range<> ) of std_logic_vector ( 35 downto 0 )
Type

Definition at line 90 of file CMX_flavor_package.vhd.

ptr_jem array ( 16 downto 0 ) of std_logic_vector ( 6 downto 0 )
Type

Definition at line 51 of file CMX_flavor_package.vhd.

ptr_presort array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 50 of file CMX_flavor_package.vhd.

ptr_sort array ( 15 downto 0 ) of std_logic_vector ( 6 downto 0 )
Type

Definition at line 49 of file CMX_flavor_package.vhd.

Rxpos_sort Rxpos_sort_type := ( " 00000000 " , " 00100000 " , " 00100001 " , " 01000010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 00100000 " , " 01000000 " , " 01000001 " , " 01100010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 01000000 " , " 01100000 " , " 01100001 " , " 10000010 " , " 01100000 " , " 10000000 " , " 10000001 " , " 10100010 " , " 01100001 " , " 10000010 " , " 10000011 " , " 10100110 " , " 10000010 " , " 10100100 " , " 10100101 " , " 11001010 " , " 01000001 " , " 01100010 " , " 01100011 " , " 10000110 " , " 01100010 " , " 10000100 " , " 10000101 " , " 10101010 " , " 01100011 " , " 10000110 " , " 10000111 " , " 10101110 " , " 10000110 " , " 10101100 " , " 10101101 " , " 11011010 " , " 01100010 " , " 10001000 " , " 10000101 " , " 10101010 " , " 10000100 " , " 10101000 " , " 10101001 " , " 11010010 " , " 10000101 " , " 10101010 " , " 10101011 " , " 11010110 " , " 10101010 " , " 11010100 " , " 11010101 " , " 10101010 " , " 00100001 " , " 01000010 " , " 01000011 " , " 01100110 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 01000011 " , " 01100110 " , " 01100111 " , " 10001110 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 01100111 " , " 10001110 " , " 10001111 " , " 10111110 " , " 10001110 " , " 10111100 " , " 10111101 " , " 11011010 " , " 01100110 " , " 10001100 " , " 10001101 " , " 10111010 " , " 10001100 " , " 10111000 " , " 10111001 " , " 11010010 " , " 10001101 " , " 10111010 " , " 10111011 " , " 11010110 " , " 10111010 " , " 11010100 " , " 11010101 " , " 11101010 " , " 01000010 " , " 01100100 " , " 01100101 " , " 10001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 11001010 " , " 01100100 " , " 10001000 " , " 10001001 " , " 10110010 " , " 10001000 " , " 10110000 " , " 10110001 " , " 11000010 " , " 10001001 " , " 10110010 " , " 10110011 " , " 11000110 " , " 10110010 " , " 11000100 " , " 11000101 " , " 11101010 " , " 01100101 " , " 10001010 " , " 10001011 " , " 10110110 " , " 10001010 " , " 10110100 " , " 10110101 " , " 10101010 " , " 10001011 " , " 10110110 " , " 10110111 " , " 10101110 " , " 10110110 " , " 10101100 " , " 10101101 " , " 10111010 " , " 10001010 " , " 10110100 " , " 10110101 " , " 10101010 " , " 10110100 " , " 10101000 " , " 10101001 " , " 10110010 " , " 10110101 " , " 10101010 " , " 10101011 " , " 10110110 " , " 10101010 " , " 10110100 " , " 10110101 " , " 10101010 " )
Constant

Definition at line 183 of file CMX_flavor_package.vhd.

Rxpos_sort_type array ( 0 to 255 ) of std_logic_vector ( 7 downto 0 )
Type

Definition at line 182 of file CMX_flavor_package.vhd.

Rxpos_type array ( integer range<> ) of std_logic_vector ( 4 downto 0 )
Type

Definition at line 175 of file CMX_flavor_package.vhd.

slot11reduced boolean := false
Constant

Definition at line 167 of file CMX_flavor_package.vhd.

sum_array arr_ctr_15bit ( 9 downto 0 )
Subtype

Definition at line 48 of file CMX_flavor_package.vhd.

t_arr_addr array ( 4 downto 0 ) of integer range 0 to 90
Type

Definition at line 79 of file CMX_flavor_package.vhd.

t_arr_addr_Et1 array ( 3 downto 0 ) of integer range 0 to 82
Type

Definition at line 73 of file CMX_flavor_package.vhd.

T_SL std_logic
Subtype

Definition at line 98 of file CMX_flavor_package.vhd.

T_SLV12 std_logic_vector ( 11 downto 0 )
Subtype

Definition at line 102 of file CMX_flavor_package.vhd.

T_SLV120 std_logic_vector ( 119 downto 0 )
Subtype

Definition at line 114 of file CMX_flavor_package.vhd.

T_SLV121 std_logic_vector ( 120 downto 0 )
Subtype

Definition at line 115 of file CMX_flavor_package.vhd.

T_SLV13 std_logic_vector ( 12 downto 0 )
Subtype

Definition at line 103 of file CMX_flavor_package.vhd.

T_SLV14 std_logic_vector ( 13 downto 0 )
Subtype

Definition at line 117 of file CMX_flavor_package.vhd.

T_SLV150 std_logic_vector ( 149 downto 0 )
Subtype

Definition at line 133 of file CMX_flavor_package.vhd.

T_SLV16 std_logic_vector ( 15 downto 0 )
Subtype

Definition at line 108 of file CMX_flavor_package.vhd.

T_SLV1936 std_logic_vector ( 1935 downto 0 )
Subtype

Definition at line 116 of file CMX_flavor_package.vhd.

T_SLV2 std_logic_vector ( 1 downto 0 )
Subtype

Definition at line 99 of file CMX_flavor_package.vhd.

T_SLV24 std_logic_vector ( 23 downto 0 )
Subtype

Definition at line 104 of file CMX_flavor_package.vhd.

T_SLV25 std_logic_vector ( 24 downto 0 )
Subtype

Definition at line 105 of file CMX_flavor_package.vhd.

T_SLV3 std_logic_vector ( 2 downto 0 )
Subtype

Definition at line 100 of file CMX_flavor_package.vhd.

T_SLV30 std_logic_vector ( 29 downto 0 )
Subtype

Definition at line 106 of file CMX_flavor_package.vhd.

T_SLV32 std_logic_vector ( 31 downto 0 )
Subtype

Definition at line 107 of file CMX_flavor_package.vhd.

T_SLV4 std_logic_vector ( 3 downto 0 )
Subtype

Definition at line 101 of file CMX_flavor_package.vhd.

T_SLV42 std_logic_vector ( 41 downto 0 )
Subtype

Definition at line 123 of file CMX_flavor_package.vhd.

T_SLV48 std_logic_vector ( 47 downto 0 )
Subtype

Definition at line 124 of file CMX_flavor_package.vhd.

T_SLV49 std_logic_vector ( 48 downto 0 )
Subtype

Definition at line 125 of file CMX_flavor_package.vhd.

T_SLV50 std_logic_vector ( 49 downto 0 )
Subtype

Definition at line 126 of file CMX_flavor_package.vhd.

T_SLV60 std_logic_vector ( 59 downto 0 )
Subtype

Definition at line 109 of file CMX_flavor_package.vhd.

T_SLV61 std_logic_vector ( 60 downto 0 )
Subtype

Definition at line 110 of file CMX_flavor_package.vhd.

T_SLV62 std_logic_vector ( 61 downto 0 )
Subtype

Definition at line 111 of file CMX_flavor_package.vhd.

T_SLV65 std_logic_vector ( 64 downto 0 )
Subtype

Definition at line 112 of file CMX_flavor_package.vhd.

T_SLV75 std_logic_vector ( 74 downto 0 )
Subtype

Definition at line 113 of file CMX_flavor_package.vhd.

thPatG_type array ( integer range<> ) of std_logic_vector ( 120 downto 0 )
Type

Definition at line 53 of file CMX_flavor_package.vhd.

thPatL_type array ( integer range<> ) of std_logic_vector ( 99 downto 0 )
Type

Definition at line 84 of file CMX_flavor_package.vhd.

thPatL_type array ( integer range<> ) of std_logic_vector ( 79 downto 0 )
Type

Definition at line 102 of file CMX_flavor_package.vhd.

thr_array array ( 0 to 15 ) of std_logic_vector ( 31 downto 0 )
Type

Definition at line 51 of file CMX_flavor_package.vhd.

threshold_cp array ( integer range<> ) of threshold_slice ( max_eta_slices - 1 downto 0 )
Type

Definition at line 156 of file CMX_flavor_package.vhd.

threshold_jem array ( integer range<> ) of threshold_slice ( max_eta_slices - 1 downto 0 )
Type

Definition at line 137 of file CMX_flavor_package.vhd.

threshold_slice array ( integer range<> ) of std_logic_vector ( 10 downto 0 )
Type

Definition at line 136 of file CMX_flavor_package.vhd.

threshold_slice array ( integer range<> ) of T_SLV13
Type

Definition at line 155 of file CMX_flavor_package.vhd.

threshold_type array ( integer range<> ) of threshold_jem ( thresholds_num - 1 downto 0 )
Type

Definition at line 138 of file CMX_flavor_package.vhd.

threshold_type array ( integer range<> ) of threshold_cp ( thresholds_num - 1 downto 0 )
Type

Definition at line 157 of file CMX_flavor_package.vhd.

thresholds_num integer := 25
Constant

Definition at line 32 of file CMX_flavor_package.vhd.

thresholds_num integer := 16
Constant

Definition at line 35 of file CMX_flavor_package.vhd.

thresholds_width integer := 10
Constant

Definition at line 33 of file CMX_flavor_package.vhd.

TO_EmTau_CLE_size integer := 8
Constant

Definition at line 85 of file CMX_flavor_package.vhd.

TO_EmTau_ISO_size integer := 5
Constant

Definition at line 86 of file CMX_flavor_package.vhd.

TO_EmTau_POS_size integer := 10
Constant

Definition at line 87 of file CMX_flavor_package.vhd.

Definition at line 89 of file CMX_flavor_package.vhd.

TO_Jet_Et1_size integer := 9
Constant

Definition at line 148 of file CMX_flavor_package.vhd.

TO_Jet_Et2_size integer := 10
Constant

Definition at line 149 of file CMX_flavor_package.vhd.

TO_Jet_pos_size integer := 9
Constant

Definition at line 152 of file CMX_flavor_package.vhd.

Definition at line 154 of file CMX_flavor_package.vhd.

totsum_type array ( integer range<> ) of integer
Type

Definition at line 146 of file CMX_flavor_package.vhd.

type_TOB_sort_layers array ( num_sort_layers - 1 downto 0 ) of arr_TOB ( max_tobs_tot - 1 downto 0 )
Type

Definition at line 69 of file CMX_flavor_package.vhd.

version_flavor_common std_logic_vector ( 15 downto 0 ) := x " F0A5 "
Constant

Definition at line 17 of file CMX_flavor_package.vhd.

version_flavor_common std_logic_vector ( 15 downto 0 ) := x " F0A2 "
Constant

Definition at line 22 of file CMX_flavor_package.vhd.

version_flavor_common std_logic_vector ( 15 downto 0 ) := x " F0A3 "
Constant

Definition at line 24 of file CMX_flavor_package.vhd.

work
Library

Definition at line 14 of file CMX_flavor_package.vhd.

Definition at line 17 of file CMX_flavor_package.vhd.

Definition at line 16 of file CMX_flavor_package.vhd.

xpos_sort xpos_sort_type := ( " 0000 " , " 1000 " , " 1000 " , " 1100 " , " 1000 " , " 1100 " , " 1100 " , " 1110 " , " 1000 " , " 1100 " , " 1100 " , " 1110 " , " 1100 " , " 1110 " , " 1110 " , " 1111 " )
Constant

Definition at line 177 of file CMX_flavor_package.vhd.

xpos_sort_type array ( 15 downto 0 ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 176 of file CMX_flavor_package.vhd.

xpos_type array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 186 of file CMX_flavor_package.vhd.

xs_thr_param_array array ( 0 to 7 ) of std_logic_vector ( 31 downto 0 )
Type

Definition at line 52 of file CMX_flavor_package.vhd.

xsum_type array ( integer range<> ) of xsum_type_a ( 7 downto 0 )
Type

Definition at line 144 of file CMX_flavor_package.vhd.

xsum_type array ( integer range<> ) of xsum_type_a ( 6 downto 0 )
Type

Definition at line 163 of file CMX_flavor_package.vhd.

xsum_type_a array ( integer range<> ) of std_logic_vector ( 3 downto 0 )
Type

Definition at line 143 of file CMX_flavor_package.vhd.

zero std_logic := ' 0 '
Constant

Definition at line 92 of file CMX_flavor_package.vhd.


The documentation for this class was generated from the following file: