CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CMX_input_module_spy_mem_control_FSM Entity Reference
Inheritance diagram for CMX_input_module_spy_mem_control_FSM:
vme_local_switch vme_inreg_notri vme_outreg_notri_async

Entities

multi_seg  architecture
 

Libraries

IEEE 
UNISIM 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
IEEE.NUMERIC_STD.all 
UNISIM.VComponents.all 
work.CMXpackage.all 
work.CMX_VME_defs.all 

Generics

ADDR_REG_RW_INPUT_SPY_MEM_X_WORD  integer := 0
ADDR_REG_RW_INPUT_SPY_MEM_X_CONTROL  integer := 0
ADDR_REG_RO_INPUT_SPY_MEM_X_STATUS  integer := 0

Ports

clk   in std_logic
ncs   in std_logic
rd_nwr   in std_logic
ds   in std_logic
addr_vme   in std_logic_vector ( 15 downto 0 )
data_vme_in   in std_logic_vector ( 15 downto 0 )
data_vme_out   out std_logic_vector ( 15 downto 0 )
bus_drive   out std_logic
mode_control   out std_logic_vector ( 3 downto 0 )
ena   out std_logic
wea   out std_logic
addra   out std_logic_vector ( 7 downto 0 )
mem_select_address   out std_logic_vector ( 3 downto 0 )
dina   out std_logic_vector ( 95 downto 0 )
douta   in std_logic_vector ( 95 downto 0 )
port_b_master_inhibit   out std_logic

Detailed Description

Definition at line 16 of file CMX_input_module_spy_mem_control_FSM.vhd.

Member Data Documentation

ADDR_REG_RO_INPUT_SPY_MEM_X_STATUS integer := 0
Generic

Definition at line 20 of file CMX_input_module_spy_mem_control_FSM.vhd.

Definition at line 19 of file CMX_input_module_spy_mem_control_FSM.vhd.

ADDR_REG_RW_INPUT_SPY_MEM_X_WORD integer := 0
Generic

Definition at line 18 of file CMX_input_module_spy_mem_control_FSM.vhd.

addr_vme in std_logic_vector ( 15 downto 0 )
Port

Definition at line 28 of file CMX_input_module_spy_mem_control_FSM.vhd.

addra out std_logic_vector ( 7 downto 0 )
Port

Definition at line 48 of file CMX_input_module_spy_mem_control_FSM.vhd.

bus_drive out std_logic
Port

Definition at line 31 of file CMX_input_module_spy_mem_control_FSM.vhd.

clk in std_logic
Port

Definition at line 23 of file CMX_input_module_spy_mem_control_FSM.vhd.

data_vme_in in std_logic_vector ( 15 downto 0 )
Port

Definition at line 29 of file CMX_input_module_spy_mem_control_FSM.vhd.

data_vme_out out std_logic_vector ( 15 downto 0 )
Port

Definition at line 30 of file CMX_input_module_spy_mem_control_FSM.vhd.

dina out std_logic_vector ( 95 downto 0 )
Port

Definition at line 52 of file CMX_input_module_spy_mem_control_FSM.vhd.

douta in std_logic_vector ( 95 downto 0 )
Port

Definition at line 53 of file CMX_input_module_spy_mem_control_FSM.vhd.

ds in std_logic
Port

Definition at line 27 of file CMX_input_module_spy_mem_control_FSM.vhd.

ena out std_logic
Port

Definition at line 46 of file CMX_input_module_spy_mem_control_FSM.vhd.

IEEE
Library

Definition at line 5 of file CMX_input_module_spy_mem_control_FSM.vhd.

mem_select_address out std_logic_vector ( 3 downto 0 )
Port

Definition at line 50 of file CMX_input_module_spy_mem_control_FSM.vhd.

mode_control out std_logic_vector ( 3 downto 0 )
Port

Definition at line 33 of file CMX_input_module_spy_mem_control_FSM.vhd.

ncs in std_logic
Port

Definition at line 25 of file CMX_input_module_spy_mem_control_FSM.vhd.

port_b_master_inhibit out std_logic
Port

Definition at line 57 of file CMX_input_module_spy_mem_control_FSM.vhd.

rd_nwr in std_logic
Port

Definition at line 26 of file CMX_input_module_spy_mem_control_FSM.vhd.

UNISIM
Library

Definition at line 9 of file CMX_input_module_spy_mem_control_FSM.vhd.

wea out std_logic
Port

Definition at line 47 of file CMX_input_module_spy_mem_control_FSM.vhd.

work
Library

Definition at line 12 of file CMX_input_module_spy_mem_control_FSM.vhd.


The documentation for this class was generated from the following file: