CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
CRC_CHECK Entity Reference
Inheritance diagram for CRC_CHECK:
Topo_Data_TX

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 
IEEE.NUMERIC_STD.all 

Ports

DATA_in   in std_logic_vector ( 15 downto 0 )
CRC_ERR   out std_logic
clk   in std_logic
rx_subtick_counter   in unsigned ( 2 downto 0 )

Detailed Description

Definition at line 28 of file CRC_CHECK.vhd.

Member Data Documentation

clk in std_logic
Port

Definition at line 32 of file CRC_CHECK.vhd.

CRC_ERR out std_logic
Port

Definition at line 31 of file CRC_CHECK.vhd.

DATA_in in std_logic_vector ( 15 downto 0 )
Port

Definition at line 30 of file CRC_CHECK.vhd.

IEEE
Library

Definition at line 12 of file CRC_CHECK.vhd.

Definition at line 21 of file CRC_CHECK.vhd.

Definition at line 13 of file CRC_CHECK.vhd.

rx_subtick_counter in unsigned ( 2 downto 0 )
Port

Definition at line 33 of file CRC_CHECK.vhd.

work
Library

Definition at line 15 of file CRC_CHECK.vhd.

Definition at line 16 of file CRC_CHECK.vhd.


The documentation for this class was generated from the following file: