1 ----------------------------------------------------------------------------------
11 ----------------------------------------------------------------------------------
13 use IEEE.STD_LOGIC_1164.
ALL;
19 -- Uncomment the following library declaration if using
20 -- arithmetic functions with Signed or Unsigned values
21 use IEEE.NUMERIC_STD.
ALL;
23 -- Uncomment the following library declaration if instantiating
24 -- any Xilinx primitives in this code.
26 --use UNISIM.VComponents.all;
40 signal b0, b1 : (7 downto 0);
42 -- crc register at varoius stages of the computation
46 --signal or_all_r6 : std_logic;
51 -- addr : in std_logic_vector(7 downto 0);
52 -- data : out std_logic_vector(11 downto 0));
58 -- numbits : integer);
60 -- DATA : in std_logic_vector(numbits - 1 downto 0);
61 -- or_all : out std_logic);
67 spo :
OUT (
11 DOWNTO 0)
78 r1(11 downto 8)<=r0(3 downto 0);
83 -- addr => r0(11 downto 4),
94 r4(11 downto 8)<=r3(3 downto 0);
99 -- addr => r3(11 downto 4),
105 a =>
r3(11 downto 4),
115 -- or_all => or_all_r6);
119 if rising_edge(clk) then -- rising clock edge
std_logic_vector (11 downto 0) r5_reg
std_logic_vector (7 downto 0) b0
in rx_subtick_counterunsigned (2 downto 0)
std_logic_vector (11 downto 0) r4
std_logic_vector (11 downto 0) r5
in DATA_instd_logic_vector (15 downto 0)
std_logic_vector (11 downto 0) r1
std_logic_vector (11 downto 0) r4_reg
std_logic_vector (11 downto 0) r0
std_logic_vector (11 downto 0) r6
std_logic_vector (11 downto 0) r3
std_logic_vector (7 downto 0) b1
std_logic_vector (11 downto 0) r2
unsigned (2 downto 0) rx_subtick_counter_reg