CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
PARITY_CALC Entity Reference
Inheritance diagram for PARITY_CALC:
BUF_2X24_AT_80_TO_1X96_AT_40 CMX_input_module

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 

Ports

DATA   in std_logic_vector ( numbitsinchan - 1 downto 0 )
PARITY   out std_logic

Detailed Description

Definition at line 28 of file PARITY_CALC.vhd.

Member Data Documentation

DATA in std_logic_vector ( numbitsinchan - 1 downto 0 )
Port

Definition at line 30 of file PARITY_CALC.vhd.

IEEE
Library

Definition at line 11 of file PARITY_CALC.vhd.

Definition at line 12 of file PARITY_CALC.vhd.

PARITY out std_logic
Port

Definition at line 31 of file PARITY_CALC.vhd.

work
Library

Definition at line 15 of file PARITY_CALC.vhd.

Definition at line 16 of file PARITY_CALC.vhd.


The documentation for this class was generated from the following file: