CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Signals

tmp  std_logic_vector ( numbitsinchan - 1 downto 0 )

Detailed Description

Definition at line 34 of file PARITY_CALC.vhd.

Member Data Documentation

tmp std_logic_vector ( numbitsinchan - 1 downto 0 )
Signal

Definition at line 35 of file PARITY_CALC.vhd.


The documentation for this class was generated from the following file: