CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Stretch_10 Entity Reference
Inheritance diagram for Stretch_10:
CMX_crate_cable_output_module CMX_CTP_output_module CMX_input_module CMX_system_cable_input_module

Entities

Behavioral  architecture
 

Libraries

IEEE 

Use Clauses

IEEE.STD_LOGIC_1164.all 

Ports

unstretched_IN   in std_logic
stretched_OUT   out std_logic
clk   in std_logic

Detailed Description

Definition at line 20 of file Stretch_10.vhd.

Member Data Documentation

clk in std_logic
Port

Definition at line 24 of file Stretch_10.vhd.

IEEE
Library

Definition at line 8 of file Stretch_10.vhd.

Definition at line 9 of file Stretch_10.vhd.

stretched_OUT out std_logic
Port

Definition at line 23 of file Stretch_10.vhd.

unstretched_IN in std_logic
Port

Definition at line 22 of file Stretch_10.vhd.


The documentation for this class was generated from the following file: