CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Stretch_10.vhd
Go to the documentation of this file.
1 ----------------------------------------------------------------------------------
7 ----------------------------------------------------------------------------------
8 library IEEE;
9 use IEEE.STD_LOGIC_1164.ALL;
10 
11 -- Uncomment the following library declaration if using
12 -- arithmetic functions with Signed or Unsigned values
13 --use IEEE.NUMERIC_STD.ALL;
14 
15 -- Uncomment the following library declaration if instantiating
16 -- any Xilinx primitives in this code.
17 --library UNISIM;
18 --use UNISIM.VComponents.all;
19 
20 entity Stretch_10 is
21  port (
22  unstretched_IN : in std_logic;
23  stretched_OUT : out std_logic;
24  clk : in std_logic);
25 end Stretch_10;
26 
27 architecture Behavioral of Stretch_10 is
28  signal s0,s1,s2,s3,s4,s5,s6,s7,s8,s9 : std_logic;
29 
30 
31 begin
32 
33  process(clk)
34  begin
35  if rising_edge(clk) then
36  s9<=s8;
37  s8<=s7;
38  s7<=s6;
39  s6<=s5;
40  s5<=s4;
41  s4<=s3;
42  s3<=s2;
43  s2<=s1;
44  s1<=s0;
46  stretched_OUT<= ((s0 or s1) or (s2 or s3)) or (((s4 or s5) or (s6 or s7)) or (s8 or s9));
47  end if;
48  end process;
49 
50 
51 
52 end Behavioral;
53 
out stretched_OUTstd_logic
Definition: Stretch_10.vhd:23
in clkstd_logic
Definition: Stretch_10.vhd:24
_library_ ieeeieee
Definition: SFP_TXRX.vhd:8
in unstretched_INstd_logic
Definition: Stretch_10.vhd:22