CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Stretch_10.vhd File Reference

shift in the input signal 10 times and take or of all to stretch a short reset pulse More...

Go to the source code of this file.

Entities

Stretch_10  entity
 
Behavioral  architecture
 

Detailed Description

shift in the input signal 10 times and take or of all to stretch a short reset pulse

Author
WTF
Date
: 07/31/2014

Definition in file Stretch_10.vhd.