CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
adder_top Entity Reference

Entities

struct  architecture
 

Libraries

ieee 
work 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 
work.CMX_VME_defs.all 
work.CMXpackage.all 
work.CMX_flavor_package.all 

Generics

numactchan  integer := 16
ADDR_REG_RW_PIPELINE_DELAY_LENGTH  integer := 0
gen_system  std_logic := ' 1 '

Ports

clk   in T_SL
thresholds   in arr_16 ( max_jems * 25 * 4 - 1 downto 0 )
datai   in arr_4Xword ( max_jems - 1 downto 0 )
din_cbl   in T_SLV65
din_cbl_ro   in T_SL
dout_lcl   out std_logic_vector ( 59 downto 0 )
dout_lcl_ro   out T_SL
dout   out T_SLV62
dout_ro   out T_SL
dout_cbla_mux0   out std_logic_vector ( 33 downto 0 )
dout_cbla_mux1   out std_logic_vector ( 33 downto 0 )
dout_cblb_mux0   out std_logic_vector ( 33 downto 0 )
dout_cblb_mux1   out std_logic_vector ( 33 downto 0 )
ncs   in std_logic
rd_nwr   in std_logic
ds   in std_logic
addr_vme   in std_logic_vector ( 15 downto 0 )
data_vme_in   in std_logic_vector ( 15 downto 0 )
data_vme_out   out std_logic_vector ( 15 downto 0 )
bus_drive   out std_logic
par_err   in T_SLV2
din_cbla_ro   in T_SL
din_cblb_ro   in T_SL
din_cblc_ro   in T_SL

Detailed Description

Definition at line 28 of file adder_top_vs.vhd.

Member Data Documentation

ADDR_REG_RW_PIPELINE_DELAY_LENGTH integer := 0
Generic

Definition at line 31 of file adder_top_vs.vhd.

addr_vme in std_logic_vector ( 15 downto 0 )
Port

Definition at line 52 of file adder_top_vs.vhd.

bus_drive out std_logic
Port

Definition at line 55 of file adder_top_vs.vhd.

clk in T_SL
Port

Definition at line 35 of file adder_top_vs.vhd.

data_vme_in in std_logic_vector ( 15 downto 0 )
Port

Definition at line 53 of file adder_top_vs.vhd.

data_vme_out out std_logic_vector ( 15 downto 0 )
Port

Definition at line 54 of file adder_top_vs.vhd.

datai in arr_4Xword ( max_jems - 1 downto 0 )
Port

Definition at line 37 of file adder_top_vs.vhd.

din_cbl in T_SLV65
Port

Definition at line 38 of file adder_top_vs.vhd.

din_cbl_ro in T_SL
Port

Definition at line 39 of file adder_top_vs.vhd.

din_cbla_ro in T_SL
Port

Definition at line 38 of file adder_top_vs_cp.vhd.

din_cblb_ro in T_SL
Port

Definition at line 39 of file adder_top_vs_cp.vhd.

din_cblc_ro in T_SL
Port

Definition at line 40 of file adder_top_vs_cp.vhd.

dout out T_SLV62
Port

Definition at line 42 of file adder_top_vs.vhd.

dout_cbla_mux0 out std_logic_vector ( 33 downto 0 )
Port

Definition at line 44 of file adder_top_vs.vhd.

dout_cbla_mux1 out std_logic_vector ( 33 downto 0 )
Port

Definition at line 45 of file adder_top_vs.vhd.

dout_cblb_mux0 out std_logic_vector ( 33 downto 0 )
Port

Definition at line 46 of file adder_top_vs.vhd.

dout_cblb_mux1 out std_logic_vector ( 33 downto 0 )
Port

Definition at line 47 of file adder_top_vs.vhd.

dout_lcl out std_logic_vector ( 59 downto 0 )
Port

Definition at line 40 of file adder_top_vs.vhd.

dout_lcl_ro out T_SL
Port

Definition at line 41 of file adder_top_vs.vhd.

dout_ro out T_SL
Port

Definition at line 43 of file adder_top_vs.vhd.

ds in std_logic
Port

Definition at line 51 of file adder_top_vs.vhd.

gen_system std_logic := ' 1 '
Generic

Definition at line 32 of file adder_top_vs.vhd.

ieee
Library

Definition at line 19 of file adder_top_vs.vhd.

Definition at line 21 of file adder_top_vs.vhd.

Definition at line 20 of file adder_top_vs.vhd.

ncs in std_logic
Port

Definition at line 49 of file adder_top_vs.vhd.

numactchan integer := 16
Generic

Definition at line 30 of file adder_top_vs.vhd.

par_err in T_SLV2
Port

Definition at line 57 of file adder_top_vs.vhd.

rd_nwr in std_logic
Port

Definition at line 50 of file adder_top_vs.vhd.

thresholds in arr_16 ( max_jems * 25 * 4 - 1 downto 0 )
Port

Definition at line 36 of file adder_top_vs.vhd.

work
Library

Definition at line 22 of file adder_top_vs.vhd.

Definition at line 25 of file adder_top_vs.vhd.

Definition at line 23 of file adder_top_vs.vhd.

Definition at line 24 of file adder_top_vs.vhd.


The documentation for this class was generated from the following files: