CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
mini_fifo Entity Reference
Inheritance diagram for mini_fifo:
Topo_Data_TX

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 
IEEE.NUMERIC_STD.all 

Generics

numbits  integer := TX_fifo_indata_length

Ports

DATA_in   in std_logic_vector ( numbits - 1 downto 0 )
DATA_out   out std_logic_vector ( numbits - 1 downto 0 )
clk_i_dom   in std_logic
clk_o_dom   in std_logic
set_mem_ctr_i   in std_logic
set_mem_ctr_o   in std_logic

Detailed Description

Definition at line 30 of file mini_fifo.vhd.

Member Data Documentation

clk_i_dom in std_logic
Port

Definition at line 38 of file mini_fifo.vhd.

clk_o_dom in std_logic
Port

Definition at line 39 of file mini_fifo.vhd.

DATA_in in std_logic_vector ( numbits - 1 downto 0 )
Port

Definition at line 36 of file mini_fifo.vhd.

DATA_out out std_logic_vector ( numbits - 1 downto 0 )
Port

Definition at line 37 of file mini_fifo.vhd.

IEEE
Library

Definition at line 14 of file mini_fifo.vhd.

Definition at line 23 of file mini_fifo.vhd.

Definition at line 15 of file mini_fifo.vhd.

numbits integer := TX_fifo_indata_length
Generic

Definition at line 33 of file mini_fifo.vhd.

set_mem_ctr_i in std_logic
Port

Definition at line 40 of file mini_fifo.vhd.

set_mem_ctr_o in std_logic
Port

Definition at line 41 of file mini_fifo.vhd.

work
Library

Definition at line 17 of file mini_fifo.vhd.

Definition at line 18 of file mini_fifo.vhd.


The documentation for this class was generated from the following file: