CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
mini_fifo_synchroniser Entity Reference
Inheritance diagram for mini_fifo_synchroniser:
Topo_Data_TX

Entities

Behavioral  architecture
 

Libraries

IEEE 
work 

Use Clauses

IEEE.STD_LOGIC_1164.all 
work.CMXpackage.all 

Ports

set_mem_ctr_i_out   out std_logic
set_mem_ctr_o_out   out std_logic
clk_i_dom   in std_logic
clk_o_dom   in std_logic
set   in std_logic

Detailed Description

Definition at line 44 of file mini_fifo_synchroniser.vhd.

Member Data Documentation

clk_i_dom in std_logic
Port

Definition at line 48 of file mini_fifo_synchroniser.vhd.

clk_o_dom in std_logic
Port

Definition at line 49 of file mini_fifo_synchroniser.vhd.

IEEE
Library

Definition at line 28 of file mini_fifo_synchroniser.vhd.

Definition at line 29 of file mini_fifo_synchroniser.vhd.

set in std_logic
Port

Definition at line 50 of file mini_fifo_synchroniser.vhd.

set_mem_ctr_i_out out std_logic
Port

Definition at line 46 of file mini_fifo_synchroniser.vhd.

set_mem_ctr_o_out out std_logic
Port

Definition at line 47 of file mini_fifo_synchroniser.vhd.

work
Library

Definition at line 31 of file mini_fifo_synchroniser.vhd.

Definition at line 32 of file mini_fifo_synchroniser.vhd.


The documentation for this class was generated from the following file: