CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Processes

i_ctr_proc  ( clk_i_dom )
o_ctr_proc  ( clk_o_dom )
i_ctr_proc  ( clk_i_dom )
o_ctr_proc  ( clk_o_dom )

Signals

set_r_clk_i  std_logic
set_rr_clk_i  std_logic
set_rr_clk_i_r_clk_o  std_logic
set_mem_ctr_i  std_logic
set_mem_ctr_o  std_logic

Attributes

keep  string
keep  set_r_clk_i , set_rr_clk_i , set_mem_ctr_i , set_rr_clk_i_r_clk_o , set_mem_ctr_o : signal is " TRUE "

Detailed Description

Definition at line 53 of file mini_fifo_synchroniser.vhd.

Member Function Documentation

i_ctr_proc (   clk_i_dom  
)
Process

Definition at line 67 of file mini_fifo_synchroniser.vhd.

i_ctr_proc (   clk_i_dom  
)
Process

Definition at line 73 of file mini_fifo_synchroniser.vhd.

o_ctr_proc (   clk_o_dom  
)
Process

Definition at line 76 of file mini_fifo_synchroniser.vhd.

o_ctr_proc (   clk_o_dom  
)
Process

Definition at line 82 of file mini_fifo_synchroniser.vhd.

Member Data Documentation

keep string
Attribute

Definition at line 55 of file mini_fifo_synchroniser.vhd.

Definition at line 67 of file mini_fifo_synchroniser.vhd.

set_mem_ctr_i std_logic
Signal

Definition at line 64 of file mini_fifo_synchroniser.vhd.

set_mem_ctr_o std_logic
Signal

Definition at line 65 of file mini_fifo_synchroniser.vhd.

set_r_clk_i std_logic
Signal

Definition at line 57 of file mini_fifo_synchroniser.vhd.

set_rr_clk_i std_logic
Signal

Definition at line 58 of file mini_fifo_synchroniser.vhd.

set_rr_clk_i_r_clk_o std_logic
Signal

Definition at line 62 of file mini_fifo_synchroniser.vhd.


The documentation for this class was generated from the following file: