CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
mult_cnt Entity Reference
Inheritance diagram for mult_cnt:
adder_counter

Entities

Behavioral  architecture
 

Libraries

ieee 
unisim 
work 

Use Clauses

ieee.std_logic_1164.all 
IEEE.NUMERIC_STD.all 
unisim.vcomponents.all 
work.CMX_flavor_package.all 

Generics

width  integer := 3

Ports

clk   in T_SL
reset   in T_SL
inhibit   in T_SL
data   in std_logic_vector ( width - 1 downto 0 )
cnt_out   out T_SLV32

Detailed Description

Definition at line 24 of file mult_cnt.vhd.

Member Data Documentation

clk in T_SL
Port

Definition at line 29 of file mult_cnt.vhd.

cnt_out out T_SLV32
Port

Definition at line 33 of file mult_cnt.vhd.

data in std_logic_vector ( width - 1 downto 0 )
Port

Definition at line 32 of file mult_cnt.vhd.

ieee
Library

Definition at line 15 of file mult_cnt.vhd.

Definition at line 17 of file mult_cnt.vhd.

Definition at line 16 of file mult_cnt.vhd.

inhibit in T_SL
Port

Definition at line 31 of file mult_cnt.vhd.

reset in T_SL
Port

Definition at line 30 of file mult_cnt.vhd.

unisim
Library

Definition at line 18 of file mult_cnt.vhd.

Definition at line 19 of file mult_cnt.vhd.

width integer := 3
Generic

Definition at line 26 of file mult_cnt.vhd.

work
Library

Definition at line 20 of file mult_cnt.vhd.

Definition at line 21 of file mult_cnt.vhd.


The documentation for this class was generated from the following file: