CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
Behavioral Architecture Reference

Processes

PROCESS_83  ( clk )
PROCESS_84  ( clk )

Signals

cnt  std_logic_vector ( 32 downto 0 )
inhibit_r_local  std_logic
reset_r_local  std_logic

Detailed Description

Definition at line 38 of file mult_cnt.vhd.

Member Function Documentation

PROCESS_83 (   clk  
)
Process

Definition at line 46 of file mult_cnt.vhd.

PROCESS_84 (   clk  
)
Process

Definition at line 54 of file mult_cnt.vhd.

Member Data Documentation

cnt std_logic_vector ( 32 downto 0 )
Signal

Definition at line 40 of file mult_cnt.vhd.

inhibit_r_local std_logic
Signal

Definition at line 42 of file mult_cnt.vhd.

reset_r_local std_logic
Signal

Definition at line 42 of file mult_cnt.vhd.


The documentation for this class was generated from the following file: