CMX
CMX firmware code in-line documentation
 All Classes Namespaces Files Functions Variables
parity_chk Entity Reference

Entities

rtl  architecture
 

Libraries

ieee 

Use Clauses

ieee.std_logic_1164.all 
ieee.numeric_std.all 

Generics

width  integer := 120

Ports

din   in std_logic_vector ( width - 1 downto 0 )
parity   in std_logic
mask   in std_logic
dout   out std_logic_vector ( width - 1 downto 0 )
perr   out std_logic

Detailed Description

Definition at line 23 of file parity_chk.vhd.

Member Data Documentation

din in std_logic_vector ( width - 1 downto 0 )
Port

Definition at line 28 of file parity_chk.vhd.

dout out std_logic_vector ( width - 1 downto 0 )
Port

Definition at line 32 of file parity_chk.vhd.

ieee
Library

Definition at line 18 of file parity_chk.vhd.

Definition at line 20 of file parity_chk.vhd.

Definition at line 19 of file parity_chk.vhd.

mask in std_logic
Port

Definition at line 30 of file parity_chk.vhd.

parity in std_logic
Port

Definition at line 29 of file parity_chk.vhd.

perr out std_logic
Port

Definition at line 33 of file parity_chk.vhd.

width integer := 120
Generic

Definition at line 25 of file parity_chk.vhd.


The documentation for this class was generated from the following file: